DOE Patents title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Per-instruction energy debugging using instruction sampling hardware

Abstract

A processor utilizes instruction based sampling to generate sampling data sampled on a per instruction basis during execution of an instruction. The sampling data indicates what processor hardware was used due to the execution of the instruction. Software receives the sampling data and generates an estimate of energy used by the instruction based on the sampling data. The sampling data may include microarchitectural events and the energy estimate utilizes a base energy amount corresponding to the instruction executed along with energy amounts corresponding to the microarchitectural events in the sampling data. The sampling data may include switching events associated with hardware blocks that switched due to execution of the instruction and the energy estimate for the instruction is based on the switching events and capacitance estimates associated with the hardware blocks.

Inventors:
; ;
Issue Date:
Research Org.:
Lawrence Livermore National Laboratory (LLNL), Livermore, CA (United States); Advanced Micro Devices, Inc., Santa Clara, CA (United States)
Sponsoring Org.:
USDOE
OSTI Identifier:
1987051
Patent Number(s):
11556162
Application Number:
15/923,153
Assignee:
Advanced Micro Devices, Inc. (Santa Clara, CA)
DOE Contract Number:  
AC52-07NA27344; B620717
Resource Type:
Patent
Resource Relation:
Patent File Date: 03/16/2018
Country of Publication:
United States
Language:
English

Citation Formats

Wei, Shijia, Greathouse, Joseph L., and Kalamatianos, John. Per-instruction energy debugging using instruction sampling hardware. United States: N. p., 2023. Web.
Wei, Shijia, Greathouse, Joseph L., & Kalamatianos, John. Per-instruction energy debugging using instruction sampling hardware. United States.
Wei, Shijia, Greathouse, Joseph L., and Kalamatianos, John. Tue . "Per-instruction energy debugging using instruction sampling hardware". United States. https://www.osti.gov/servlets/purl/1987051.
@article{osti_1987051,
title = {Per-instruction energy debugging using instruction sampling hardware},
author = {Wei, Shijia and Greathouse, Joseph L. and Kalamatianos, John},
abstractNote = {A processor utilizes instruction based sampling to generate sampling data sampled on a per instruction basis during execution of an instruction. The sampling data indicates what processor hardware was used due to the execution of the instruction. Software receives the sampling data and generates an estimate of energy used by the instruction based on the sampling data. The sampling data may include microarchitectural events and the energy estimate utilizes a base energy amount corresponding to the instruction executed along with energy amounts corresponding to the microarchitectural events in the sampling data. The sampling data may include switching events associated with hardware blocks that switched due to execution of the instruction and the energy estimate for the instruction is based on the switching events and capacitance estimates associated with the hardware blocks.},
doi = {},
journal = {},
number = ,
volume = ,
place = {United States},
year = {Tue Jan 17 00:00:00 EST 2023},
month = {Tue Jan 17 00:00:00 EST 2023}
}

Works referenced in this record:

PPEP: Online Performance, Power, and Energy Prediction Framework and DVFS Space Exploration
conference, December 2014


Systems, Devices, and Methods for Arc Fault Detection
patent-application, September 2007


Computation Along a Datapath Between Memory Blocks
patent-application, May 2017


System and Method for Remotely Analyzing Machine Performance
patent-application, July 2010


Automatic Optimal Integrated Circuit Generator from Algorithms and Specification
patent-application, December 2013


Dynamic Sampling
patent-application, December 2014


Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events
conference, April 2007


Calculating source line level energy information for Android applications
conference, July 2013


Selecting regions of hot code in a dynamic binary rewriter
patent, January 2013


Reconfigurable Prediction Engine for General Processor Counting
patent-application, September 2019


Predictable Design of Low Power Systems by Pre-Implementation Estimation and Optimization
patent-application, September 2005


enDebug: A hardware–software framework for automated energy debugging
journal, October 2016


PowerInsight - A commodity power measurement capability
conference, June 2013


Ultra-Low Power Comparator with Sampling Control Loop Adjusting Frequency and/or Sample Aperture Window
patent-application, March 2017


Processor energy monitoring and dynamic adjustment
patent, January 2017


A systematic method for functional unit power estimation in microprocessors
conference, January 2006


Systems and Methods for Summarization and Visualization of Trace Data
patent-application, April 2018


Sampling Chip Activity for Real Time Power Estimation
patent-application, October 2009


Proximity Triggered Sampling
patent-application, January 2019


Two dimensional masked shift instruction
patent, February 2021


Statically Speculative Compilation and Execution
patent-application, June 2013


Methods and Circuits for Debugging Circuit Designs
patent-application, April 2017


Method and Apparatus for Customized Energy Policy Based on Energy Demand Estimation for Client Systems
patent-application, December 2016


Task Execution Determinism Improvement for an Event-Driven Processor
patent-application, February 2015


Run-time power estimation in high performance microprocessors
conference, January 2001


An Energy Efficiency Feature Survey of the Intel Haswell Processor
conference, May 2015


Method and Apparatus for Power Estimation
patent-application, June 2015


Systems, Devices and/or Methods for Managing Program Logic Units
patent-application, March 2009


Watts-inside: A hardware-software cooperative approach for Multicore Power Debugging
conference, October 2013


Systems and Methods for Summarization and Visualization of Trace Data
patent-application, May 2018


Measuring energy consumption for short code paths using RAPL
journal, January 2012


Heterogoeneity within a Processor Core
patent-application, April 2015


Statically Speculative Compilation and Execution
patent-application, March 2016


Two Dimensional Masked Shift Instruction
patent-application, November 2018