DOE PAGES title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Atomic layer etching of SiO2 with Ar and CHF 3 plasmas: A self-limiting process for aspect ratio independent etching

Abstract

With ever increasing demands on device patterning to achieve smaller critical dimensions, the need for precise, controllable atomic layer etching (ALE) is steadily increasing. In this work, a cyclical fluorocarbon/argon plasma is successfully used for patterning silicon oxide by ALE in a conventional inductively coupled plasma tool. The impact of plasma parameters and substrate electrode temperature on the etch performance is established. We achieve the self-limiting behavior of the etch process by modulating the substrate temperature. We find that at an electrode temperature of -10°C, etching stops after complete removal of the modified surface layer as the residual fluorine from the reactor chamber is minimized. Finally, we demonstrate the ability to achieve independent etching, which establishes the potential of the developed cyclic ALE process for small scale device patterning.

Authors:
ORCiD logo [1];  [2];  [2];  [3];  [2]; ORCiD logo [3]; ORCiD logo [3]; ORCiD logo [4];  [3]
  1. Ilmenau Univ. of Technology (Germany); Oxford Instruments Plasma Technology, Bristol (United Kingdom); Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)
  2. Oxford Instruments Plasma Technology, Bristol (United Kingdom)
  3. Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)
  4. Ilmenau Univ. of Technology (Germany)
Publication Date:
Research Org.:
Lawrence Berkeley National Laboratory (LBNL), Berkeley, CA (United States)
Sponsoring Org.:
USDOE Office of Science (SC), Basic Energy Sciences (BES)
OSTI Identifier:
1597717
Grant/Contract Number:  
AC02-05CH11231
Resource Type:
Accepted Manuscript
Journal Name:
Plasma Processes and Polymers
Additional Journal Information:
Journal Volume: 16; Journal Issue: 9; Journal ID: ISSN 1612-8850
Publisher:
Wiley
Country of Publication:
United States
Language:
English
Subject:
70 PLASMA PHYSICS AND FUSION TECHNOLOGY; aspect ratio independent etching; atomic layer etching; ion energy distribution; plasma etching; self-limiting process

Citation Formats

Dallorto, Stefano, Goodyear, Andy, Cooke, Mike, Szornel, Julia E., Ward, Craig, Kastl, Christoph, Schwartzberg, Adam, Rangelow, Ivo W., and Cabrini, Stefano. Atomic layer etching of SiO2 with Ar and CHF 3 plasmas: A self-limiting process for aspect ratio independent etching. United States: N. p., 2019. Web. doi:10.1002/ppap.201900051.
Dallorto, Stefano, Goodyear, Andy, Cooke, Mike, Szornel, Julia E., Ward, Craig, Kastl, Christoph, Schwartzberg, Adam, Rangelow, Ivo W., & Cabrini, Stefano. Atomic layer etching of SiO2 with Ar and CHF 3 plasmas: A self-limiting process for aspect ratio independent etching. United States. https://doi.org/10.1002/ppap.201900051
Dallorto, Stefano, Goodyear, Andy, Cooke, Mike, Szornel, Julia E., Ward, Craig, Kastl, Christoph, Schwartzberg, Adam, Rangelow, Ivo W., and Cabrini, Stefano. Wed . "Atomic layer etching of SiO2 with Ar and CHF 3 plasmas: A self-limiting process for aspect ratio independent etching". United States. https://doi.org/10.1002/ppap.201900051. https://www.osti.gov/servlets/purl/1597717.
@article{osti_1597717,
title = {Atomic layer etching of SiO2 with Ar and CHF 3 plasmas: A self-limiting process for aspect ratio independent etching},
author = {Dallorto, Stefano and Goodyear, Andy and Cooke, Mike and Szornel, Julia E. and Ward, Craig and Kastl, Christoph and Schwartzberg, Adam and Rangelow, Ivo W. and Cabrini, Stefano},
abstractNote = {With ever increasing demands on device patterning to achieve smaller critical dimensions, the need for precise, controllable atomic layer etching (ALE) is steadily increasing. In this work, a cyclical fluorocarbon/argon plasma is successfully used for patterning silicon oxide by ALE in a conventional inductively coupled plasma tool. The impact of plasma parameters and substrate electrode temperature on the etch performance is established. We achieve the self-limiting behavior of the etch process by modulating the substrate temperature. We find that at an electrode temperature of -10°C, etching stops after complete removal of the modified surface layer as the residual fluorine from the reactor chamber is minimized. Finally, we demonstrate the ability to achieve independent etching, which establishes the potential of the developed cyclic ALE process for small scale device patterning.},
doi = {10.1002/ppap.201900051},
journal = {Plasma Processes and Polymers},
number = 9,
volume = 16,
place = {United States},
year = {Wed May 22 00:00:00 EDT 2019},
month = {Wed May 22 00:00:00 EDT 2019}
}

Journal Article:
Free Publicly Available Full Text
Publisher's Version of Record

Citation Metrics:
Cited by: 23 works
Citation information provided by
Web of Science

Save / Share:

Works referenced in this record:

Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?
journal, January 2015

  • Faraz, T.; Roozeboom, F.; Knoops, H. C. M.
  • ECS Journal of Solid State Science and Technology, Vol. 4, Issue 6
  • DOI: 10.1149/2.0051506jss

Atomic Layer Etching at the Tipping Point: An Overview
journal, January 2015

  • Oehrlein, G. S.; Metzler, D.; Li, C.
  • ECS Journal of Solid State Science and Technology, Vol. 4, Issue 6
  • DOI: 10.1149/2.0061506jss

Overview of atomic layer etching in the semiconductor industry
journal, March 2015

  • Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 2
  • DOI: 10.1116/1.4913379

Characterization of an asymmetric parallel plate radio-frequency discharge using a retarding field energy analyzer
journal, December 2011


Atomic Layer Etching: Rethinking the Art of Etch
journal, August 2018

  • Kanarik, Keren J.; Tan, Samantha; Gottscho, Richard A.
  • The Journal of Physical Chemistry Letters, Vol. 9, Issue 16
  • DOI: 10.1021/acs.jpclett.8b00997

The grand challenges of plasma etching: a manufacturing perspective
journal, June 2014

  • Lee, Chris G. N.; Kanarik, Keren J.; Gottscho, Richard A.
  • Journal of Physics D: Applied Physics, Vol. 47, Issue 27
  • DOI: 10.1088/0022-3727/47/27/273001

Retarding field analyzer for ion energy distribution measurements at a radio-frequency biased electrode
journal, March 2008

  • Gahan, D.; Dolinaj, B.; Hopkins, M. B.
  • Review of Scientific Instruments, Vol. 79, Issue 3
  • DOI: 10.1063/1.2890100

Principles of Plasma Discharges and Materials Processing
book, January 2005


Microscopic uniformity in plasma etching
journal, September 1992

  • Gottscho, Richard A.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 10, Issue 5
  • DOI: 10.1116/1.586180

Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4 F 8 and Ar/CHF 3 plasma
journal, January 2016

  • Metzler, Dominik; Li, Chen; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1
  • DOI: 10.1116/1.4935462

Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4
journal, July 2016

  • Li, Chen; Metzler, Dominik; Lai, Chiukin Steven
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4
  • DOI: 10.1116/1.4954961

Plasma processing of low-k dielectrics
journal, January 2013

  • Baklanov, Mikhail R.; de Marneffe, Jean-Francois; Shamiryan, Denis
  • Journal of Applied Physics, Vol. 113, Issue 4
  • DOI: 10.1063/1.4765297

Process requirements for continued scaling of CMOS—the need and prospects for atomic-level manipulation
journal, March 2002

  • Agnello, P. D.
  • IBM Journal of Research and Development, Vol. 46, Issue 2.3
  • DOI: 10.1147/rd.462.0317

Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism
journal, January 1999

  • Schaepkens, M.; Standaert, T. E. F. M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 1
  • DOI: 10.1116/1.582108

Transient behavior in quasi-atomic layer etching of silicon dioxide and silicon nitride in fluorocarbon plasmas
journal, November 2018

  • Huard, Chad M.; Sriraman, Saravanapriyan; Paterson, Alex
  • Journal of Vacuum Science & Technology A, Vol. 36, Issue 6
  • DOI: 10.1116/1.5049225

Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films
journal, September 1997

  • Marra, Denise C.; Aydil, Eray S.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 15, Issue 5
  • DOI: 10.1116/1.580762

Plasma atomic layer etching using conventional plasma equipment
journal, January 2009

  • Agarwal, Ankur; Kushner, Mark J.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 27, Issue 1
  • DOI: 10.1116/1.3021361

Realization of atomic layer etching of silicon
journal, November 1996

  • Athavale, Satish D.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 14, Issue 6
  • DOI: 10.1116/1.588651

Process Technology Variation
journal, August 2011

  • Kuhn, Kelin J.; Giles, Martin D.; Becher, David
  • IEEE Transactions on Electron Devices, Vol. 58, Issue 8
  • DOI: 10.1109/TED.2011.2121913

Evaluation of plasma deposited fluorocarbon films using experimental design methodology
journal, December 2005


Reaction of fluorine atoms with SiO 2
journal, October 1979

  • Flamm, D. L.; Mogab, C. J.; Sklaver, E. R.
  • Journal of Applied Physics, Vol. 50, Issue 10
  • DOI: 10.1063/1.325755

Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects
journal, October 2014

  • King, Sean W.
  • ECS Journal of Solid State Science and Technology, Vol. 4, Issue 1
  • DOI: 10.1149/2.0051501jss

A Review of SiO[sub 2] Etching Studies in Inductively Coupled Fluorocarbon Plasmas
journal, January 2001

  • Schaepkens, Marc; Oehrlein, Gottlieb S.
  • Journal of The Electrochemical Society, Vol. 148, Issue 3
  • DOI: 10.1149/1.1348260

Fluorocarbon assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma
journal, March 2014

  • Metzler, Dominik; Bruce, Robert L.; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2
  • DOI: 10.1116/1.4843575

Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO 2
journal, August 2017

  • Gasvoda, Ryan J.; van de Steeg, Alex W.; Bhowmick, Ranadeep
  • ACS Applied Materials & Interfaces, Vol. 9, Issue 36
  • DOI: 10.1021/acsami.7b08234

Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide
journal, January 2004

  • Standaert, T. E. F. M.; Hedlund, C.; Joseph, E. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 22, Issue 1
  • DOI: 10.1116/1.1626642

Molecular dynamics simulation of atomic layer etching of silicon
journal, May 1995

  • Athavale, Satish D.; Economou, Demetre J.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 13, Issue 3
  • DOI: 10.1116/1.579659

Aspect Ratio Independent Etching: Fact or Fantasy?
journal, April 1995

  • Iii, Andrew D. Bailey; Gottscho, Richard A.
  • Japanese Journal of Applied Physics, Vol. 34, Issue Part 1, No. 4B
  • DOI: 10.1143/JJAP.34.2083

Low‐temperature reactive ion etching and microwave plasma etching of silicon
journal, February 1988

  • Tachi, Shinichi; Tsujimoto, Kazunori; Okudaira, Sadayuki
  • Applied Physics Letters, Vol. 52, Issue 8, p. 616-618
  • DOI: 10.1063/1.99382

Plasma etching: Yesterday, today, and tomorrow
journal, September 2013

  • Donnelly, Vincent M.; Kornblit, Avinoam
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 5
  • DOI: 10.1116/1.4819316