DOE PAGES title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

Abstract

The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and finalmore » surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO2 layer is prevented by the high reactivity of low energy Ar+ ion bombarded Si. In conclusion, the Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O2 process conditions.« less

Authors:
 [1];  [1];  [2];  [2];  [1]
  1. University of Maryland, College Park, MD (United States)
  2. Lam Research Corporation, Fremont, CA (United States)
Publication Date:
Research Org.:
Univ. of Maryland, College Park, MD (United States)
Sponsoring Org.:
USDOE Office of Science (SC), Fusion Energy Sciences (FES); National Science Foundation (NSF)
OSTI Identifier:
1660334
Grant/Contract Number:  
SC0001939; CBET-1134273
Resource Type:
Accepted Manuscript
Journal Name:
Journal of Physics. D, Applied Physics
Additional Journal Information:
Journal Volume: 50; Journal Issue: 25; Journal ID: ISSN 0022-3727
Publisher:
IOP Publishing
Country of Publication:
United States
Language:
English
Subject:
70 PLASMA PHYSICS AND FUSION TECHNOLOGY; Atomic layer etching; ALE; surface cleaning; oxide etching; plasma etching; XPS; surface oxidation

Citation Formats

Metzler, Dominik, Li, Chen, Lai, C. Steven, Hudson, Eric A., and Oehrlein, Gottlieb S. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate. United States: N. p., 2017. Web. doi:10.1088/1361-6463/aa71f1.
Metzler, Dominik, Li, Chen, Lai, C. Steven, Hudson, Eric A., & Oehrlein, Gottlieb S. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate. United States. https://doi.org/10.1088/1361-6463/aa71f1
Metzler, Dominik, Li, Chen, Lai, C. Steven, Hudson, Eric A., and Oehrlein, Gottlieb S. Tue . "Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate". United States. https://doi.org/10.1088/1361-6463/aa71f1. https://www.osti.gov/servlets/purl/1660334.
@article{osti_1660334,
title = {Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate},
author = {Metzler, Dominik and Li, Chen and Lai, C. Steven and Hudson, Eric A. and Oehrlein, Gottlieb S.},
abstractNote = {The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO2 layer is prevented by the high reactivity of low energy Ar+ ion bombarded Si. In conclusion, the Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O2 process conditions.},
doi = {10.1088/1361-6463/aa71f1},
journal = {Journal of Physics. D, Applied Physics},
number = 25,
volume = 50,
place = {United States},
year = {Tue Jun 06 00:00:00 EDT 2017},
month = {Tue Jun 06 00:00:00 EDT 2017}
}

Journal Article:
Free Publicly Available Full Text
Publisher's Version of Record

Citation Metrics:
Cited by: 19 works
Citation information provided by
Web of Science

Save / Share:

Works referenced in this record:

Atomic Layer Etching at the Tipping Point: An Overview
journal, January 2015

  • Oehrlein, G. S.; Metzler, D.; Li, C.
  • ECS Journal of Solid State Science and Technology, Vol. 4, Issue 6
  • DOI: 10.1149/2.0061506jss

Overview of atomic layer etching in the semiconductor industry
journal, March 2015

  • Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 2
  • DOI: 10.1116/1.4913379

Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma
journal, May 1995

  • Tae, Heung-Sik
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 13, Issue 3
  • DOI: 10.1116/1.588204

Low‐temperature i n s i t u surface cleaning of oxide‐patterned wafers by Ar/H 2 plasma sputter
journal, November 1990

  • Yew, Tri‐Rung; Reif, Rafael
  • Journal of Applied Physics, Vol. 68, Issue 9
  • DOI: 10.1063/1.346180

Atomic Layer Etching: An Industry Perspective
journal, January 2015

  • T. Carver, Colin; J. Plombon, John; E. Romero, Patricio
  • ECS Journal of Solid State Science and Technology, Vol. 4, Issue 6
  • DOI: 10.1149/2.0021506jss

Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4 F 8 and Ar/CHF 3 plasma
journal, January 2016

  • Metzler, Dominik; Li, Chen; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1
  • DOI: 10.1116/1.4935462

Damaged silicon contact layer removal using atomic layer etching for deep-nanoscale semiconductor devices
journal, November 2013

  • Kim, Jong Kyu; Cho, Sung Il; Lee, Sung Ho
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 6
  • DOI: 10.1116/1.4823335

Low-temperature plasma processing for Si photovoltaics
journal, April 2014


Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsing
journal, September 2010

  • Petit-Etienne, Camille; Darnon, Maxime; Vallier, Laurent
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5
  • DOI: 10.1116/1.3483165

Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4
journal, July 2016

  • Li, Chen; Metzler, Dominik; Lai, Chiukin Steven
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4
  • DOI: 10.1116/1.4954961

Surface science aspects of etching reactions
journal, January 1992


Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism
journal, January 1999

  • Schaepkens, M.; Standaert, T. E. F. M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 1
  • DOI: 10.1116/1.582108

Free Radicals in an Inductively Coupled Etching Plasma
journal, April 1994

  • Hikosaka, Yukinobu; Nakamura, Moritaka; Sugai, Hideo
  • Japanese Journal of Applied Physics, Vol. 33, Issue Part 1, No. 4B
  • DOI: 10.1143/JJAP.33.2157

Silicon wafer cleaning with CF4/H2 plasma and its effect on the properties of dry thermally grown oxide
journal, October 1992


Study of C4F8/N2 and C4F8/Ar/N2 plasmas for highly selective organosilicate glass etching over Si3N4 and SiC
journal, September 2003

  • Hua, Xuefeng; Wang, X.; Fuentevilla, D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 21, Issue 5
  • DOI: 10.1116/1.1598973

Fluorocarbon high‐density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3
journal, March 1994

  • Oehrlein, G. S.; Zhang, Y.; Vender, D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 12, Issue 2
  • DOI: 10.1116/1.578876

A molecular dynamics investigation of fluorocarbon based layer-by-layer etching of silicon and SiO2
journal, February 2007

  • Rauf, S.; Sparks, T.; Ventzek, P. L. G.
  • Journal of Applied Physics, Vol. 101, Issue 3
  • DOI: 10.1063/1.2464192

Removal efficiency of organic contaminants on Si wafer by dry cleaning using UV/O3 and ECR plasma
journal, February 2003


Structural and electrical characterization of HBr/O 2 plasma damage to Si substrate
journal, July 2011

  • Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 29, Issue 4
  • DOI: 10.1116/1.3596606

Plasma-surface interactions of model polymers for advanced photoresists using C[sub 4]F[sub 8]∕Ar discharges and energetic ion beams
journal, January 2007

  • Engelmann, S.; Bruce, R. L.; Kwon, T.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 25, Issue 4
  • DOI: 10.1116/1.2759935

Quantification of surface film formation effects in fluorocarbon plasma etching of polysilicon
journal, May 1991

  • Gray, David C.; Sawin, Herbert H.; Butterbaugh, Jeffrey W.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 9, Issue 3
  • DOI: 10.1116/1.577361

Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric
journal, May 2016

  • Li, Chen; Gupta, Rahul; Pallem, Venkateswara
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 3
  • DOI: 10.1116/1.4944609

Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
journal, May 2016

  • Kawakami, Masatoshi; Metzler, Dominik; Li, Chen
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4
  • DOI: 10.1116/1.4949260

Synchrotron radiation-induced surface-conductivity of SiO2 for modification of plasma charging
journal, April 2000

  • Cismaru, C.; Shohet, J. L.; McVittie, J. P.
  • Applied Physics Letters, Vol. 76, Issue 16
  • DOI: 10.1063/1.126330

Hydrogen plasma treatments for passivation of amorphous-crystalline silicon-heterojunctions on surfaces promoting epitaxy
journal, March 2013

  • Mews, Mathias; Schulze, Tim F.; Mingirulli, Nicola
  • Applied Physics Letters, Vol. 102, Issue 12
  • DOI: 10.1063/1.4798292

Fluorocarbon assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma
journal, March 2014

  • Metzler, Dominik; Bruce, Robert L.; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2
  • DOI: 10.1116/1.4843575

Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide
journal, January 2004

  • Standaert, T. E. F. M.; Hedlund, C.; Joseph, E. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 22, Issue 1
  • DOI: 10.1116/1.1626642

On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists
journal, July 2011

  • Bruce, R. L.; Weilnboeck, F.; Lin, T.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 29, Issue 4
  • DOI: 10.1116/1.3607604

Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor
journal, July 1997

  • Rueger, N. R.; Beulens, J. J.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 15, Issue 4
  • DOI: 10.1116/1.580655

Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma
journal, September 2016

  • Metzler, Dominik; Li, Chen; Engelmann, Sebastian
  • The Journal of Chemical Physics, Vol. 146, Issue 5
  • DOI: 10.1063/1.4961458

Silicon surface cleaning by low dose argon‐ion bombardment for low‐temperature (750 °C) epitaxial deposition. II. Epitaxial quality
journal, October 1987

  • Garverick, L. M.; Comfort, J. H.; Yew, T. R.
  • Journal of Applied Physics, Vol. 62, Issue 8
  • DOI: 10.1063/1.339302

Selective etching of SiO2 over polycrystalline silicon using CHF3 in an inductively coupled plasma reactor
journal, September 1999

  • Rueger, N. R.; Doemling, M. F.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 5
  • DOI: 10.1116/1.581987

Plasma-assisted etching mechanisms: The implications of reaction probability and halogen coverage
journal, September 1985

  • Winters, Harold F.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 3, Issue 5
  • DOI: 10.1116/1.582996

Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry
journal, September 2010

  • Weilnboeck, F.; Bruce, R. L.; Engelmann, S.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5
  • DOI: 10.1116/1.3484249

Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2
journal, May 1999

  • Standaert, T. E. F. M.; Matsuo, P. J.; Allen, S. D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 3
  • DOI: 10.1116/1.581643

Carbon and oxygen removal from silicon (100) surfaces by remote plasma cleaning techniques
journal, July 1992

  • Thomas, R. E.; Mantini, M. J.; Rudder, R. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 10, Issue 4
  • DOI: 10.1116/1.577678

Fluorination of the silicon dioxide surface during reactive ion and plasma etching in halocarbon plasmas
journal, March 1989


Quantitative and comparative characterizations of plasma process-induced damage in advanced metal-oxide-semiconductor devices
journal, January 2008


Atomic layer etching removal of damaged layers in a contact hole for low sheet resistance
journal, November 2013

  • Kim, Jong Kyu; Cho, Sung Il; Lee, Sung Ho
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 6
  • DOI: 10.1116/1.4816321

High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer
journal, January 1998

  • Standaert, T. E. F. M.; Schaepkens, M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 16, Issue 1
  • DOI: 10.1116/1.580978

Improved amorphous/crystalline silicon interface passivation by hydrogen plasma treatment
journal, September 2011

  • Descoeudres, A.; Barraud, L.; De Wolf, Stefaan
  • Applied Physics Letters, Vol. 99, Issue 12
  • DOI: 10.1063/1.3641899

Plasma etching: Yesterday, today, and tomorrow
journal, September 2013

  • Donnelly, Vincent M.; Kornblit, Avinoam
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 5
  • DOI: 10.1116/1.4819316

Works referencing / citing this record:

Oxidation and reduction processes in Ni/Cu/Cr/Si(100) thin films under low-energy ion irradiation
journal, December 2019

  • Kruhlov, I. O.; Vladymyrskyi, I. A.; Dubikovskyi, O.
  • Materials Research Express, Vol. 6, Issue 12
  • DOI: 10.1088/2053-1591/ab6382