skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: H{sub 2}/N{sub 2} plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics

Journal Article · · Journal of Applied Physics
DOI:https://doi.org/10.1063/1.3562161· OSTI ID:21560180
;  [1]; ;  [1];  [2];  [3]; ; ; ;  [4]
  1. Department of Electrical Engineering and Computer Science, Nagoya University, Nagoya 464-8603 (Japan)
  2. Department of Electrical and Electronic Engineering, Meijo University 1-501 Shiogamaguchi, Tempaku-ku, Nagoya 468-8502 (Japan)
  3. Plasma Nanotechnology Research Center, Nagoya University, Nagoya 464-8603 (Japan)
  4. Process and Manufacturing Engineering Center, Toshiba Corporation, 8 Shinsugita-cho, Isogo-ku, Yokohama 235-8522 (Japan)

This study investigates the mechanism of H{sub 2}/N{sub 2} plasma ashing damage of porous SiOCH films. Porous SiOCH films were treated by a H{sub 2}/N{sub 2} plasma using a 100-MHz capacitively coupled plasma etcher. The impact of ions, radicals, and vacuum ultraviolet radiation on the porous SiOCH films was investigated using in situ bulk analysis techniques such as spectroscopic ellipsometry and Fourier-transform infrared spectroscopy and ex situ film characterization techniques such as dynamic secondary ion mass spectrometry and x-ray photoelectron spectroscopy. In addition, plasma analysis including vacuum ultraviolet absorption spectroscopy was performed. The film characterization and plasma analysis show that the extraction of methyl by H radicals was enhanced by light while N radicals were responsible for inhibit the extraction of Si-CH{sub 3} bonds by forming nitride layer. The H{sub 2}/N{sub 2} plasma damage mechanism is discussed based on characterization of the film and plasma diagnostics.

OSTI ID:
21560180
Journal Information:
Journal of Applied Physics, Vol. 109, Issue 8; Other Information: DOI: 10.1063/1.3562161; (c) 2011 American Institute of Physics; ISSN 0021-8979
Country of Publication:
United States
Language:
English

Similar Records

Mechanism of plasma-induced damage to low-k SiOCH films during plasma ashing of organic resists
Journal Article · Tue Feb 01 00:00:00 EST 2011 · Journal of Applied Physics · OSTI ID:21560180

Plasma damage mechanisms for low-k porous SiOCH films due to radiation, radicals, and ions in the plasma etching process
Journal Article · Tue Apr 01 00:00:00 EDT 2008 · Journal of Applied Physics · OSTI ID:21560180

Damage by radicals and photons during plasma cleaning of porous low-k SiOCH. I. Ar/O{sub 2} and He/H{sub 2} plasmas
Journal Article · Sun Jul 15 00:00:00 EDT 2012 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:21560180