skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Optically connected memory for disaggregated data centers

Journal Article · · Journal of Parallel and Distributed Computing
ORCiD logo [1]; ORCiD logo [2];  [3];  [4];  [5];  [5];  [3];  [2]
  1. University of Engineering and Technology, Lima (Peru); Univ. of Campinas (UNICAMP), Sao Paulo (Brazil)
  2. Univ. of Campinas (UNICAMP), Sao Paulo (Brazil)
  3. Columbia Univ., New York, NY (United States)
  4. Instituto Nacional de Investigación y Capacitación de Telecomunicaciones de la Universidad Nacional de Ingeniería (INICTEL-UNI) (Peru)
  5. Eidgenoessische Technische Hochschule (ETH), Zurich (Switzerland)

Recent advances in integrated photonics enable the implementation of reconfigurable, high-bandwidth, and low energy-per-bit interconnects in next-generation data centers. We propose and evaluate an Optically Connected Memory (OCM) architecture that disaggregates the main memory from the computation nodes in data centers. OCM is based on micro-ring resonators (MRRs), and it does not require any modification to the DRAM memory modules. We calculate energy consumption from real photonic devices and integrate them into a system simulator to evaluate performance. Here, our results show that (1) OCM is capable of interconnecting four DDR4 memory channels to a computing node using two fibers with 1.02 pJ energy-per-bit consumption and (2) OCM performs up to 5.5× faster than a disaggregated memory with 40G PCIe NIC connectors to computing nodes.

Research Organization:
Analog Photonics LLC, Boston, MA (United States)
Sponsoring Organization:
USDOE Office of Science (SC), Advanced Scientific Computing Research (ASCR)
Grant/Contract Number:
SC0017182
OSTI ID:
1977385
Journal Information:
Journal of Parallel and Distributed Computing, Vol. 163, Issue C; ISSN 0743-7315
Publisher:
ElsevierCopyright Statement
Country of Publication:
United States
Language:
English

References (31)

Efficiency Optimization of Silicon Photonic Links in 65-nm CMOS and 28-nm FDSOI Technology Nodes journal December 2016
Design Space Exploration of Microring Resonators in Silicon Photonic Interconnects: Impact of the Ring Curvature journal July 2018
The End of Moore's Law: A New Beginning for Information Technology journal March 2017
Optically Disaggregated Data Centers With Minimal Remote Memory Latency: Technologies, Architectures, and Resource Allocation [Invited] journal January 2018
Architectural Impacts of RFiop: RF to Address I/O Pad and Memory Controller Scalability journal August 2018
Comprehensive Design Space Exploration of Silicon Photonic Interconnects journal June 2016
A Roadmap for Integrated Photonics journal January 2018
Thermal Rectification of Integrated Microheaters for Microring Resonators in Silicon Photonics Platform journal February 2018
Low-loss composite photonic platform based on 2D semiconductor monolayers journal February 2020
Progress, Challenges, and Opportunities for 2D Material Based Photodetectors journal September 2018
WDM Source Based on High-Power, Efficient 1280-nm DFB Lasers for Terabit Interconnect Technologies journal November 2018
High-channel-count 20  GHz passively mode-locked quantum dot laser directly grown on Si with 41  Tbit/s transmission capacity journal January 2019
Silicon Photonics for Extreme Scale Systems journal January 2019
Dense wavelength division multiplexing networks: principles and applications journal January 1990
Realization and Application of Large-Scale Fast Optical Circuit Switch for Data Center Networking journal April 2018
An Energy-Efficient Optically Connected Memory Module for Hybrid Packet- and Circuit-Switched Optical Networks journal March 2013
Silicon Photonic 2.5D Multi-Chip Module Transceiver for High-Performance Data Centers journal July 2020
Size Influence on the Propagation Loss Induced by Sidewall Roughness in Ultrasmall SOI Waveguides journal July 2004
All-Optical Programmable Disaggregated Data Centre Network Realized by FPGA-Based Switch and Interface Card journal April 2016
Simulating MPI Applications: The SMPI Approach journal August 2017
SPEC CPU2006 benchmark descriptions journal September 2006
Valgrind: a framework for heavyweight dynamic binary instrumentation journal June 2007
Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics journal July 2009
Responsivity optimization of a high-speed germanium-on-silicon photodetector journal January 2016
Novel Light Source Integration Approaches for Silicon Photonics: Novel Light Source Integration Approaches for Silicon Photonics journal July 2017
1.3- $\mu$ m Reflection Insensitive InAs/GaAs Quantum Dot Lasers Directly Grown on Silicon journal March 2019
Building Data Centers With Optically Connected Memory journal July 2011
Enabling Efficient and Scalable Hybrid Memories Using Fine-Granularity DRAM Cache Management journal July 2012
Roofline: an insightful visual performance model for multicore architectures journal April 2009
Versatile, scalable, and accurate simulation of distributed applications and platforms journal October 2014
Ultra-thin DVS-BCB adhesive bonding of III-V wafers, dies and multiple dies to a patterned silicon-on-insulator substrate journal December 2012

Similar Records

Data Movement Dominates: Advanced Memory Technology to Address the Real Exascale Power Problem
Technical Report · Thu Aug 28 00:00:00 EDT 2014 · OSTI ID:1977385

Photonic Memory Controller Module (P-MCM)
Technical Report · Mon Dec 04 00:00:00 EST 2017 · OSTI ID:1977385

PIMS: Memristor-Based Processing-in-Memory-and-Storage.
Technical Report · Thu Feb 01 00:00:00 EST 2018 · OSTI ID:1977385