skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Towards Area Efficient Logic Circuit: Exploring Potential of Reconfigurable Gate by Generic Exact Synthesis

Journal Article · · IEEE Open Journal of the Computer Society

In this article, we propose a generic design methodology to achieve area-efficient reconfigurable logic circuits by using exact synthesis based on Boolean satisfiability (SAT) solver. The proposed methodology better leverages the high representation ability of emerging reconfigurable logic gates (RLGs) to achieve reconfigurable circuits with fewer gates. In addition, we propose a fence-based acceleration method to provide >10× speed up for the synthesis without an observable loss of optimality. Furthermore, four sets of RLGs are developed based on a recently proposed valley-spin device as a case study to demonstrate the advantage of the proposed circuit. Simulations have been performed to analyze the impact of the fence searching algorithm and combination of operators. Based on disjoint-support decomposable (DSD) benchmarks, up to 38% and 73% reductions are observed in the area and energy-delay-area product (EDAP), respectively, compared to CMOS counterparts. Compared to the two existing synthesis methods, the proposed scheme provides 40% and 26.3% reduction in EDAP based on MCNC benchmark.

Research Organization:
Univ. of Texas, Arlington, TX (United States)
Sponsoring Organization:
USDOE Office of Science (SC), Advanced Scientific Computing Research (ASCR); National Science Foundation (NSF)
Grant/Contract Number:
SC0022881; CCF-2219753
OSTI ID:
1960068
Alternate ID(s):
OSTI ID: 1960900; OSTI ID: 1995724
Journal Information:
IEEE Open Journal of the Computer Society, Journal Name: IEEE Open Journal of the Computer Society Vol. 4; ISSN 2644-1268
Publisher:
Institute of Electrical and Electronics EngineersCopyright Statement
Country of Publication:
United States
Language:
English

References (38)

Design Method of Single-Flux-Quantum Logic Circuits Using Dynamically Reconfigurable Logic Gates journal June 2015
Hybrid Spin-CMOS Polymorphic Logic Gate With Application in In-Memory Computing journal February 2020
High-Performance Carbon Nanotube Field-Effect Transistor With Tunable Polarities journal September 2005
Reconfigurable Logic-Memory Hybrid Device Based on Ferroelectric Hf0.5Zr0.5O2 journal August 2021
Ultrafast integrable and reconfigurable XNOR, AND, NOR, and NOT photonic logic gate journal April 2006
Measuring the Gap Between FPGAs and ASICs journal February 2007
Matrix Nanodevice-Based Logic Architectures and Associated Functional Mapping Method journal January 2011
An Efficient Gate Library for Ambipolar CNTFET Logic journal February 2011
Reconfigurable logic and neuromorphic circuits based on electrically tunable two-dimensional homojunctions journal June 2020
A Family of Stateful Memristor Gates for Complete Cascading Logic journal November 2019
Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime journal August 2020
KL-cuts influence on optimization of polymorphic circuits based on PAIG rewriting conference April 2020
Fifty Years of Moore's Law journal May 2011
Valley-Spin Logic Gates journal May 2020
Architecture at the End of Moore book October 2012
Optimum polymorphic circuits synthesis method conference April 2018
Designing polymorphic circuits with polymorphic gates: a general design approach journal January 2007
Reconfigurable logic via gate controlled domain wall trajectory in magnetic network structure journal February 2016
DAG-aware AIG rewriting a fresh look at combinational logic synthesis conference January 2006
PAIG Rewriting: The Way to Scalable Multifunctional Digital Circuits Synthesis conference August 2019
Practical Implementation of Memristor-Based Threshold Logic Gates journal August 2019
SAT-Based Generation of Optimum Circuits with Polymorphic Behavior Support journal December 2019
Technology mapping flow for emerging reconfigurable silicon nanowire transistors conference March 2018
SAT-Based Exact Synthesis: Encodings, Topology Families, and Parallelism journal April 2020
An Expanded Benchmarking of Beyond-CMOS Devices Based on Boolean and Neuromorphic Representative Circuits journal December 2017
Designing Polymorphic Circuits with Evolutionary Algorithm Based on Weighted Sum Method book January 2007
ABC: An Academic Industrial-Strength Verification Tool book January 2010
Overview of Beyond-CMOS Devices and a Uniform Methodology for Their Benchmarking journal December 2013
Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesis conference April 2009
Emerging Technology-Based Design of Primitives for Hardware Security journal April 2016
Hybrid STT-CMOS designs for reverse-engineering prevention conference June 2016
A novel basis for logic rewriting conference January 2017
FPGA Interconnect Topologies Exploration journal January 2009
Designing Efficient Circuits Based on Runtime-Reconfigurable Field-Effect Transistors journal March 2019
AIG rewriting using 5-input cuts conference October 2011
Evolutionary Design of Gate-Level Polymorphic Digital Circuits book January 2005
Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies journal October 2014
Hybrid Polymorphic Logic Gate with 5-Terminal Magnetic Domain Wall Motion Device conference July 2017