skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Ultrathin ferroic HfO2–ZrO2 superlattice gate stack for advanced transistors

Journal Article · · Nature (London)
 [1]; ORCiD logo [1]; ORCiD logo [2]; ORCiD logo [2];  [2];  [2];  [3];  [3];  [3];  [2]; ORCiD logo [2];  [2];  [2];  [2];  [4];  [4];  [4];  [4];  [4];  [4] more »;  [5];  [6];  [6];  [7]; ORCiD logo [7];  [8];  [8];  [9];  [9];  [10];  [10];  [10];  [11];  [12]; ORCiD logo [12];  [13]; ORCiD logo [2]; ORCiD logo [14];  [3]; ORCiD logo [15];  [13]; ORCiD logo [16];  [3];  [4];  [2];  [17] « less
  1. Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Univ. of California, Berkeley, CA (United States)
  2. Univ. of California, Berkeley, CA (United States)
  3. Univ. of Notre Dame, IN (United States)
  4. Massachusetts Inst. of Technology (MIT), Cambridge, MA (United States)
  5. Pennsylvania State Univ., University Park, PA (United States)
  6. Argonne National Lab. (ANL), Argonne, IL (United States). Advanced Photon Source (APS)
  7. SLAC National Accelerator Lab., Menlo Park, CA (United States). Stanford Synchrotron Radiation Lightsource (SSRL)
  8. Applied Materials, Inc., Santa Clara, CA (United States)
  9. Samsung Electronics, Gyeonggi-do (Korea, Republic of)
  10. SK hynix, Icheon (Korea, Republic of)
  11. Intel Corporation, Hillsboro, OR (United States)
  12. Samsung Electronics, Suwon (Korea, Republic of)
  13. Indian Inst. of Technology (IIT) Bombay, Mumbai (India)
  14. Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Advanced Light Source (ALS)
  15. Univ. of California, Berkeley, CA (United States); Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)
  16. Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). Molecular Foundry
  17. Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

With the scaling of lateral dimensions in advanced transistors, an increased gate capacitance is desirable both to retain the control of the gate electrode over the channel and to reduce the operating voltage'. This led to a fundamental change in the gate stack in 2008, the incorporation of high-dielectric-constant HfO2 (ref. 2 ), which remains the material of choice to date. Here we report HfO2-ZrO2 superlattice heterostructures as a gate stack, stabilized with mixed ferroelectric-antiferroelectric order, directly integrated onto Si transistors, and scaled down to approximately 20 angstroms, the same gate oxide thickness required for high-performance transistors. The overall equivalent oxide thickness in metal-oxide-semiconductor capacitors is equivalent to an effective SiO2 thickness of approximately 6.5 angstroms. Such a low effective oxide thickness and the resulting large capacitance cannot be achieved in conventional HfO2-based high-dielectric-constant gate stacks without scavenging the interfacial SiO2, which has adverse effects on the electron transport and gate leakage current'. Accordingly, our gate stacks, which do not require such scavenging, provide substantially lower leakage current and no mobility degradation. This work demonstrates that ultrathin ferroic HfO2-ZrO2 multilayers, stabilized with competing ferroelectric-antiferroelectric order in the two-nanometre-thickness regime, provide a path towards advanced gate oxide stacks in electronic devices beyond conventional HfO2-based high-dielectric-constant materials.

Research Organization:
SLAC National Accelerator Lab., Menlo Park, CA (United States); Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Argonne National Lab. (ANL), Argonne, IL (United States)
Sponsoring Organization:
USDOD; Defense Advanced Research Projects Agency (DARPA); USDOE Office of Science (SC), Basic Energy Sciences (BES). Materials Sciences & Engineering Division; US Air Force; USDOE Office of Science (SC), Basic Energy Sciences (BES). Scientific User Facilities Division
Grant/Contract Number:
AC02-76SF00515; AC02-05CH11231; AC02-06CH11357
OSTI ID:
1875694
Alternate ID(s):
OSTI ID: 1894633; OSTI ID: 1895055
Journal Information:
Nature (London), Vol. 604, Issue 7904; ISSN 0028-0836
Publisher:
Nature Publishing GroupCopyright Statement
Country of Publication:
United States
Language:
English

References (84)

Response Speed of Negative Capacitance FinFETs conference June 2018
Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf 0.5 Zr 0.5 O 2 films journal December 2020
A key piece of the ferroelectric hafnia puzzle journal September 2020
On the scaling of subnanometer EOT gate dielectrics for ultimate nano CMOS technology journal April 2015
Unveiling the double-well energy landscape in a ferroelectric layer journal January 2019
A Thermodynamic Approach to Selecting Alternative Gate Dielectrics journal March 2002
Progress, Challenges, and Opportunities in Two-Dimensional Materials Beyond Graphene journal March 2013
Extreme damping in composite materials with negative-stiffness inclusions journal March 2001
Transient Negative Capacitance Effect in Atomic-Layer-Deposited Al 2 O 3 /Hf 0.3 Zr 0.7 O 2 Bilayer Thin Film journal February 2019
A Gibbs energy view of double hysteresis in ZrO 2 and Si-doped HfO 2 journal October 2020
Morphotropic Phase Boundary of Hf 1– x Zr x O 2 Thin Films for Dynamic Random Access Memories journal November 2018
Lanthanum doping induced structural changes and their implications on ferroelectric properties of Hf 1−x Zr x O 2 thin film journal August 2020
Local Structural Heterogeneity and Electromechanical Responses of Ferroelectrics: Learning from Relaxor Ferroelectrics journal July 2018
Induction of ferroelectricity in nanoscale ZrO2 thin films on Pt electrode without post-annealing journal March 2017
The era of hyper-scaling in electronics journal August 2018
Three-dimensional integration of nanotechnologies for computing and data storage on a single chip journal July 2017
Composite Materials with Viscoelastic Stiffness Greater Than Diamond journal February 2007
Crystallized HfLaO embedded tetragonal ZrO2 for dynamic random access memory capacitor dielectrics journal April 2011
Direct tunneling leakage current and scalability of alternative gate dielectrics journal September 2002
A comprehensive study on the mechanism of ferroelectric phase formation in hafnia-zirconia nanolaminates and superlattices journal December 2019
Enhanced ferroelectricity in ultrathin films grown directly on silicon journal April 2020
Progress and future prospects of negative capacitance electronics: A materials perspective journal February 2021
Negative Capacitance Transistors journal January 2019
A perspective on semiconductor devices based on fluorite-structured ferroelectrics from the materials–device integration perspective journal December 2020
Engineering of Ferroelectric HfO 2 –ZrO 2 Nanolaminates journal April 2017
Memory leads the way to better computing journal March 2015
Experimental evidence of ferroelectric negative capacitance in nanoscale heterostructures journal September 2011
Negative capacitance in multidomain ferroelectric superlattices journal June 2016
Phase-Field Method of Phase Transitions/Domain Structures in Ferroelectric Thin Films: A Review journal June 2008
Ferroelectric and Dielectric Properties of Hf 0.5 Zr 0.5 O 2 Thin Film Near Morphotropic Phase Boundary journal February 2021
What’s next for negative capacitance electronics? journal September 2020
Trap Generation in IL and HK layers during BTI / TDDB stress in scaled HKMG N and P MOSFETs conference June 2014
Electrical and Reliability Characteristics of FinFETs With High- k Gate Stack and Plasma Treatments journal January 2021
Modeling of Negative Capacitance in Ferroelectric Thin Films journal June 2019
Ferroelectricity in Simple Binary ZrO 2 and HfO 2 journal July 2012
Fluorite-structure antiferroelectrics journal November 2019
Structural properties and electronic structure of HfO 2 -ZrO 2 composite films journal September 2010
Method to Achieve the Morphotropic Phase Boundary in Hf x Zr 1−x O 2 by Electric Field Cycling for DRAM Cell Capacitor Applications journal April 2021
Next generation ferroelectric materials for semiconductor process integration and their applications journal March 2021
Nika : software for two-dimensional data reduction journal March 2012
Recent progress for obtaining the ferroelectric phase in hafnium oxide based films: impact of oxygen and zirconium journal October 2019
Performance and Reliability of TiO 2 /ZrO 2 /TiO 2 (TZT) and AlO-Doped TZT MIM Capacitors journal October 2016
The End of Moore's Law: A New Beginning for Information Technology journal March 2017
Room-Temperature Negative Capacitance in a Ferroelectric–Dielectric Superlattice Heterostructure journal September 2014
Ultrathin EOT high-κ/metal gate devices for future technologies: Challenges, achievements and perspectives (invited) journal July 2011
Spatially resolved steady-state negative capacitance journal January 2019
Growth Window of Ferroelectric Epitaxial Hf 0.5 Zr 0.5 O 2 Thin Films journal January 2019
Nanometre-scale electronics with III–V compound semiconductors journal November 2011
Compositional dependence of crystallization temperatures and phase evolution in hafnia-zirconia (Hf x Zr 1−x )O 2 thin films journal May 2020
Use of Negative Capacitance to Provide Voltage Amplification for Low Power Nanoscale Devices journal February 2008
A monoclinic ferroelectric phase in the Pb(Zr1−xTix)O3 solid solution journal April 1999
High-k Hf x Zr 1-x O₂ Ferroelectric Insulator by Utilizing High Pressure Anneal journal June 2020
Time-Dependent Negative Capacitance Effects in Al 2 O 3 /BaTiO 3 Bilayers journal June 2016
Commentary: The Materials Project: A materials genome approach to accelerating materials innovation journal July 2013
Gate Oxides Beyond SiO 2 journal November 2008
Scale-free ferroelectricity induced by flat phonon bands in HfO 2 journal July 2020
Variable linear polarization from an X-ray undulator journal June 2002
Experimental Observation of Negative Capacitance in Ferroelectrics at Room Temperature journal June 2014
Ferroelectric negative capacitance journal March 2019
High-throughput computational X-ray absorption spectroscopy journal July 2018
Understanding mobility mechanisms in extremely scaled HfO2 (EOT 0.42 nm) using remote interfacial layer scavenging technique and Vt-tuning dipoles with gate-first process conference December 2009
22nm High-performance SOI technology featuring dual-embedded stressors, Epi-Plate High-K deep-trench embedded DRAM and self-aligned Via 15LM BEOL conference December 2012
The rise of 2D dielectrics/ferroelectrics journal December 2019
Future of dynamic random-access memory as main memory journal May 2018
Phase competition in HfO 2 with applied electric field from first principles journal December 2020
Depolarization as Driving Force in Antiferroelectric Hafnia and Ferroelectric Wake-Up journal May 2020
Piezoelectric response and free-energy instability in the perovskite crystals Ba Ti O 3 , Pb Ti O 3 , and Pb ( Zr , Ti ) O 3 journal May 2006
Ferroelectricity and Antiferroelectricity of Doped Thin HfO 2 -Based Films journal February 2015
Electric Field-Induced Permittivity Enhancement in Negative-Capacitance FET journal March 2021
Antiferroelectricity in thin-film ZrO 2 from first principles journal October 2014
Equivalent Oxide Thickness (EOT) Scaling With Hafnium Zirconium Oxide High-κ Dielectric Near Morphotropic Phase Boundary conference December 2019
The future of ferroelectric field-effect transistor technology journal October 2020
Ferroelectricity in hafnium oxide thin films journal September 2011
Theory of Antiferroelectric Crystals journal June 1951
The origin of ferroelectricity in Hf 1−x Zr x O 2 : A computational investigation and a surface energy model journal April 2015
Intrinsic speed limit of negative capacitance transistors journal September 2017
Development of New TiN/ZrO2/Al2O3/ZrO2/TiN Capacitors Extendable to 45nm Generation DRAMs Replacing HfO2 Based Dielectrics conference January 2006
Monolithic 3D Integration of High Endurance Multi-Bit Ferroelectric FET for Accelerating Compute-In-Memory conference December 2020
Local negative permittivity and topological phase transition in polar skyrmions journal October 2020
Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging? journal March 2012
Scalability of TiN/HfAlO/TiN MIM DRAM capacitor to 0.7-nm-EOT and beyond conference December 2009
A thermally robust and thickness independent ferroelectric phase in laminated hafnium zirconium oxide journal September 2016
The effect of interfacial layer properties on the performance of Hf-based gate stack devices journal November 2006
Gate-First Processed FUSI/HfO2/HfSiOx/Si MOSFETs with EOT=0.5 nm - Interfacial Layer Formation by Cycle-by-Cycle Deposition and Annealing conference December 2007

Similar Records

A Potential Role In Spintronics
Journal Article · Mon Apr 01 00:00:00 EST 2002 · Materials Today · OSTI ID:1875694

Improved thermal stability and electrical properties of atomic layer deposited HfO{sub 2}/AlN high-k gate dielectric stacks on GaAs
Journal Article · Thu Jan 15 00:00:00 EST 2015 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:1875694

Low interfacial trap density and sub-nm equivalent oxide thickness in In{sub 0.53}Ga{sub 0.47}As (001) metal-oxide-semiconductor devices using molecular beam deposited HfO{sub 2}/Al{sub 2}O{sub 3} as gate dielectrics
Journal Article · Mon Jul 25 00:00:00 EDT 2011 · Applied Physics Letters · OSTI ID:1875694

Related Subjects