skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Investigation of thin oxide layer removal from Si substrates using an SiO 2 atomic layer etching approach: the importance of the reactivity of the substrate

Journal Article · · Journal of Physics. D, Applied Physics

Not provided.

Research Organization:
Univ. of Michigan, Ann Arbor, MI (United States)
Sponsoring Organization:
USDOE Office of Science (SC)
DOE Contract Number:
SC0001939
OSTI ID:
1535490
Journal Information:
Journal of Physics. D, Applied Physics, Vol. 50, Issue 25; ISSN 0022-3727
Publisher:
IOP Publishing
Country of Publication:
United States
Language:
English

References (42)

Quantitative and comparative characterizations of plasma process-induced damage in advanced metal-oxide-semiconductor devices journal January 2008
Removal efficiency of organic contaminants on Si wafer by dry cleaning using UV/O3 and ECR plasma journal February 2003
Synchrotron radiation-induced surface-conductivity of SiO2 for modification of plasma charging journal April 2000
Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsing
  • Petit-Etienne, Camille; Darnon, Maxime; Vallier, Laurent
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5 https://doi.org/10.1116/1.3483165
journal September 2010
Structural and electrical characterization of HBr/O 2 plasma damage to Si substrate
  • Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 29, Issue 4 https://doi.org/10.1116/1.3596606
journal July 2011
Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric
  • Li, Chen; Gupta, Rahul; Pallem, Venkateswara
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 3 https://doi.org/10.1116/1.4944609
journal May 2016
Plasma etching: Yesterday, today, and tomorrow journal September 2013
Overview of atomic layer etching in the semiconductor industry
  • Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 2 https://doi.org/10.1116/1.4913379
journal March 2015
Surface science aspects of etching reactions journal January 1992
Plasma-assisted etching mechanisms: The implications of reaction probability and halogen coverage journal September 1985
Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide
  • Standaert, T. E. F. M.; Hedlund, C.; Joseph, E. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 22, Issue 1 https://doi.org/10.1116/1.1626642
journal January 2004
Quantification of surface film formation effects in fluorocarbon plasma etching of polysilicon
  • Gray, David C.; Sawin, Herbert H.; Butterbaugh, Jeffrey W.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 9, Issue 3 https://doi.org/10.1116/1.577361
journal May 1991
Atomic Layer Etching at the Tipping Point: An Overview journal January 2015
Atomic Layer Etching: An Industry Perspective journal January 2015
Damaged silicon contact layer removal using atomic layer etching for deep-nanoscale semiconductor devices
  • Kim, Jong Kyu; Cho, Sung Il; Lee, Sung Ho
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 6 https://doi.org/10.1116/1.4823335
journal November 2013
Atomic layer etching removal of damaged layers in a contact hole for low sheet resistance
  • Kim, Jong Kyu; Cho, Sung Il; Lee, Sung Ho
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 6 https://doi.org/10.1116/1.4816321
journal November 2013
Fluorocarbon assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma
  • Metzler, Dominik; Bruce, Robert L.; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2 https://doi.org/10.1116/1.4843575
journal March 2014
Plasma-surface interactions of model polymers for advanced photoresists using C[sub 4]F[sub 8]∕Ar discharges and energetic ion beams
  • Engelmann, S.; Bruce, R. L.; Kwon, T.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 25, Issue 4 https://doi.org/10.1116/1.2759935
journal January 2007
Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2
  • Standaert, T. E. F. M.; Matsuo, P. J.; Allen, S. D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 3 https://doi.org/10.1116/1.581643
journal May 1999
Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
  • Kawakami, Masatoshi; Metzler, Dominik; Li, Chen
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4 https://doi.org/10.1116/1.4949260
journal May 2016
Study of C4F8/N2 and C4F8/Ar/N2 plasmas for highly selective organosilicate glass etching over Si3N4 and SiC journal September 2003
High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer
  • Standaert, T. E. F. M.; Schaepkens, M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 16, Issue 1 https://doi.org/10.1116/1.580978
journal January 1998
Fluorination of the silicon dioxide surface during reactive ion and plasma etching in halocarbon plasmas journal March 1989
Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4 F 8 and Ar/CHF 3 plasma
  • Metzler, Dominik; Li, Chen; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1 https://doi.org/10.1116/1.4935462
journal January 2016
Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism
  • Schaepkens, M.; Standaert, T. E. F. M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 1 https://doi.org/10.1116/1.582108
journal January 1999
Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry
  • Weilnboeck, F.; Bruce, R. L.; Engelmann, S.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5 https://doi.org/10.1116/1.3484249
journal September 2010
On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists
  • Bruce, R. L.; Weilnboeck, F.; Lin, T.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 29, Issue 4 https://doi.org/10.1116/1.3607604
journal July 2011
Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4
  • Li, Chen; Metzler, Dominik; Lai, Chiukin Steven
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4 https://doi.org/10.1116/1.4954961
journal July 2016
Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor
  • Rueger, N. R.; Beulens, J. J.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 15, Issue 4 https://doi.org/10.1116/1.580655
journal July 1997
Selective etching of SiO2 over polycrystalline silicon using CHF3 in an inductively coupled plasma reactor
  • Rueger, N. R.; Doemling, M. F.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 5 https://doi.org/10.1116/1.581987
journal September 1999
Fluorocarbon high‐density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3
  • Oehrlein, G. S.; Zhang, Y.; Vender, D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 12, Issue 2 https://doi.org/10.1116/1.578876
journal March 1994
Free Radicals in an Inductively Coupled Etching Plasma journal April 1994
Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma journal September 2016
Low‐temperature i n s i t u surface cleaning of oxide‐patterned wafers by Ar/H 2 plasma sputter journal November 1990
Silicon surface cleaning by low dose argon‐ion bombardment for low‐temperature (750 °C) epitaxial deposition. II. Epitaxial quality journal October 1987
Silicon wafer cleaning with CF4/H2 plasma and its effect on the properties of dry thermally grown oxide journal October 1992
Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma journal May 1995
Carbon and oxygen removal from silicon (100) surfaces by remote plasma cleaning techniques
  • Thomas, R. E.; Mantini, M. J.; Rudder, R. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 10, Issue 4 https://doi.org/10.1116/1.577678
journal July 1992
Low-temperature plasma processing for Si photovoltaics journal April 2014
Improved amorphous/crystalline silicon interface passivation by hydrogen plasma treatment journal September 2011
Hydrogen plasma treatments for passivation of amorphous-crystalline silicon-heterojunctions on surfaces promoting epitaxy journal March 2013
A molecular dynamics investigation of fluorocarbon based layer-by-layer etching of silicon and SiO2 journal February 2007

Cited By (1)

Oxidation and reduction processes in Ni/Cu/Cr/Si(100) thin films under low-energy ion irradiation journal December 2019

Similar Records

Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate
Journal Article · Tue Jun 06 00:00:00 EDT 2017 · Journal of Physics. D, Applied Physics · OSTI ID:1535490

Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma
Journal Article · Wed Nov 11 00:00:00 EST 2015 · Journal of Vacuum Science and Technology A · OSTI ID:1535490

Highly selective Si 3 N 4 /SiO 2 etching using an NF 3 /N 2 /O 2 /H 2 remote plasma. II. Surface reaction mechanism
Journal Article · Wed Jan 29 00:00:00 EST 2020 · Journal of Vacuum Science and Technology A · OSTI ID:1535490

Related Subjects