skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

Journal Article · · Journal of Physics. D, Applied Physics
 [1];  [1];  [2];  [2];  [1]
  1. University of Maryland, College Park, MD (United States)
  2. Lam Research Corporation, Fremont, CA (United States)

The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO2 layer is prevented by the high reactivity of low energy Ar+ ion bombarded Si. In conclusion, the Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O2 process conditions.

Research Organization:
Univ. of Maryland, College Park, MD (United States)
Sponsoring Organization:
USDOE Office of Science (SC), Fusion Energy Sciences (FES); National Science Foundation (NSF)
Grant/Contract Number:
SC0001939; CBET-1134273
OSTI ID:
1660334
Journal Information:
Journal of Physics. D, Applied Physics, Vol. 50, Issue 25; ISSN 0022-3727
Publisher:
IOP PublishingCopyright Statement
Country of Publication:
United States
Language:
English
Citation Metrics:
Cited by: 19 works
Citation information provided by
Web of Science

References (42)

Atomic Layer Etching at the Tipping Point: An Overview journal January 2015
Overview of atomic layer etching in the semiconductor industry
  • Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 2 https://doi.org/10.1116/1.4913379
journal March 2015
Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma journal May 1995
Low‐temperature i n s i t u surface cleaning of oxide‐patterned wafers by Ar/H 2 plasma sputter journal November 1990
Atomic Layer Etching: An Industry Perspective journal January 2015
Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4 F 8 and Ar/CHF 3 plasma
  • Metzler, Dominik; Li, Chen; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1 https://doi.org/10.1116/1.4935462
journal January 2016
Damaged silicon contact layer removal using atomic layer etching for deep-nanoscale semiconductor devices
  • Kim, Jong Kyu; Cho, Sung Il; Lee, Sung Ho
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 6 https://doi.org/10.1116/1.4823335
journal November 2013
Low-temperature plasma processing for Si photovoltaics journal April 2014
Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsing
  • Petit-Etienne, Camille; Darnon, Maxime; Vallier, Laurent
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5 https://doi.org/10.1116/1.3483165
journal September 2010
Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4
  • Li, Chen; Metzler, Dominik; Lai, Chiukin Steven
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4 https://doi.org/10.1116/1.4954961
journal July 2016
Surface science aspects of etching reactions journal January 1992
Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism
  • Schaepkens, M.; Standaert, T. E. F. M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 1 https://doi.org/10.1116/1.582108
journal January 1999
Free Radicals in an Inductively Coupled Etching Plasma journal April 1994
Silicon wafer cleaning with CF4/H2 plasma and its effect on the properties of dry thermally grown oxide journal October 1992
Study of C4F8/N2 and C4F8/Ar/N2 plasmas for highly selective organosilicate glass etching over Si3N4 and SiC journal September 2003
Fluorocarbon high‐density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3
  • Oehrlein, G. S.; Zhang, Y.; Vender, D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 12, Issue 2 https://doi.org/10.1116/1.578876
journal March 1994
A molecular dynamics investigation of fluorocarbon based layer-by-layer etching of silicon and SiO2 journal February 2007
Removal efficiency of organic contaminants on Si wafer by dry cleaning using UV/O3 and ECR plasma journal February 2003
Structural and electrical characterization of HBr/O 2 plasma damage to Si substrate
  • Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 29, Issue 4 https://doi.org/10.1116/1.3596606
journal July 2011
Plasma-surface interactions of model polymers for advanced photoresists using C[sub 4]F[sub 8]∕Ar discharges and energetic ion beams
  • Engelmann, S.; Bruce, R. L.; Kwon, T.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 25, Issue 4 https://doi.org/10.1116/1.2759935
journal January 2007
Quantification of surface film formation effects in fluorocarbon plasma etching of polysilicon
  • Gray, David C.; Sawin, Herbert H.; Butterbaugh, Jeffrey W.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 9, Issue 3 https://doi.org/10.1116/1.577361
journal May 1991
Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric
  • Li, Chen; Gupta, Rahul; Pallem, Venkateswara
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 3 https://doi.org/10.1116/1.4944609
journal May 2016
Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
  • Kawakami, Masatoshi; Metzler, Dominik; Li, Chen
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4 https://doi.org/10.1116/1.4949260
journal May 2016
Synchrotron radiation-induced surface-conductivity of SiO2 for modification of plasma charging journal April 2000
Hydrogen plasma treatments for passivation of amorphous-crystalline silicon-heterojunctions on surfaces promoting epitaxy journal March 2013
Fluorocarbon assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma
  • Metzler, Dominik; Bruce, Robert L.; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2 https://doi.org/10.1116/1.4843575
journal March 2014
Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide
  • Standaert, T. E. F. M.; Hedlund, C.; Joseph, E. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 22, Issue 1 https://doi.org/10.1116/1.1626642
journal January 2004
On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists
  • Bruce, R. L.; Weilnboeck, F.; Lin, T.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 29, Issue 4 https://doi.org/10.1116/1.3607604
journal July 2011
Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor
  • Rueger, N. R.; Beulens, J. J.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 15, Issue 4 https://doi.org/10.1116/1.580655
journal July 1997
Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma journal September 2016
Silicon surface cleaning by low dose argon‐ion bombardment for low‐temperature (750 °C) epitaxial deposition. II. Epitaxial quality journal October 1987
Selective etching of SiO2 over polycrystalline silicon using CHF3 in an inductively coupled plasma reactor
  • Rueger, N. R.; Doemling, M. F.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 5 https://doi.org/10.1116/1.581987
journal September 1999
Plasma-assisted etching mechanisms: The implications of reaction probability and halogen coverage journal September 1985
Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry
  • Weilnboeck, F.; Bruce, R. L.; Engelmann, S.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5 https://doi.org/10.1116/1.3484249
journal September 2010
Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2
  • Standaert, T. E. F. M.; Matsuo, P. J.; Allen, S. D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 3 https://doi.org/10.1116/1.581643
journal May 1999
Carbon and oxygen removal from silicon (100) surfaces by remote plasma cleaning techniques
  • Thomas, R. E.; Mantini, M. J.; Rudder, R. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 10, Issue 4 https://doi.org/10.1116/1.577678
journal July 1992
Fluorination of the silicon dioxide surface during reactive ion and plasma etching in halocarbon plasmas journal March 1989
Quantitative and comparative characterizations of plasma process-induced damage in advanced metal-oxide-semiconductor devices journal January 2008
Atomic layer etching removal of damaged layers in a contact hole for low sheet resistance
  • Kim, Jong Kyu; Cho, Sung Il; Lee, Sung Ho
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 31, Issue 6 https://doi.org/10.1116/1.4816321
journal November 2013
High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer
  • Standaert, T. E. F. M.; Schaepkens, M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 16, Issue 1 https://doi.org/10.1116/1.580978
journal January 1998
Improved amorphous/crystalline silicon interface passivation by hydrogen plasma treatment journal September 2011
Plasma etching: Yesterday, today, and tomorrow journal September 2013

Cited By (1)

Oxidation and reduction processes in Ni/Cu/Cr/Si(100) thin films under low-energy ion irradiation journal December 2019

Similar Records

Characterizing Fluorocarbon Assisted Atomic Layer Etching of Si Using Cyclic Ar/C4F8 and Ar/CHF3 Plasma
Journal Article · Thu Sep 08 00:00:00 EDT 2016 · Journal of Chemical Physics · OSTI ID:1660334

Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}
Journal Article · Fri Jul 15 00:00:00 EDT 2016 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:1660334

Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma
Journal Article · Fri Jan 15 00:00:00 EST 2016 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:1660334