Machine Learning Interatomic Potentials as Emerging Tools for Materials Science
journal
September 2019
Per‐ and Polyfluoroalkyl Substance Toxicity and Human Health Review: Current State of Knowledge and Strategies for Informing Future Research
journal
December 2020
Perfluoroalkyl and polyfluoroalkyl substances in the environment: Terminology, classification, and origins
journal
September 2011
Multiscale Modeling of Low Pressure Plasma Etching Processes: Linking the Operating Parameters of the Plasma Reactor with Surface Roughness Evolution
journal
October 2016
Novel Epitaxy for Nitride Semiconductors Using Plasma Technology
journal
November 2020
Global warming potentials and radiative efficiencies of halocarbons and related compounds: A comprehensive review: HALOCARBON REVIEW
journal
April 2013
Energy Dependence of Ion-Induced Sputtering Yields from Monatomic Solids at Normal Incidence
journal
March 1996
Laser-induced chemical etching of silicon in chlorine atmosphere
journal
December 1988
Wet chemical etching of silicate glasses in hydrofluoric acid based solutions
journal
December 1993
Towards an integrated modeling of the plasma-solid interface
journal
June 2019
Comparative study of global warming effects during silicon nitride etching using C3F6O/O2 and C3F6/O2 gas mixtures
journal
January 2015
Problems related to p-n junctions in silicon
journal
January 1961
Energy dependence of the ion-induced sputtering yields of monatomic solids
journal
July 1984
Low temperature etching of Si in high density plasma using SF6/O2
journal
February 1995
Photochemical etching with tunable VUV radiation
journal
May 1996
Fluorocarbons in the global environment: a review of the important interactions with atmospheric chemistry and physics
journal
September 2003
The black silicon method. VIII. A study of the performance of etching silicon using SF6/O2-based chemistry with cryogenical wafer cooling and a high density ICP source
journal
September 2001
Multiscale modeling of plasma etch processing
journal
May 2002
From mine to refrigeration: a life cycle inventory analysis of the production of HFC-134a
journal
December 2003
Efficiencies above unity in light-induced reaction of Cu with Cl2: excitation, amplification, and diffusion processes
journal
April 2001
Dielectric film etching in semiconductor device manufacturing
journal
May 2002
Materials processing by gas cluster ion beams
journal
October 2001
Effect of different pulse modes during Cl2/Ar inductively coupled plasma etching on the characteristics of nanoscale silicon trench formation
journal
September 2022
Surface hardening of extreme ultraviolet(EUV) photoresist by CS2 plasma for highly selective and low damage patterning
journal
August 2023
Thermal atomic layer etching of CoO using acetylacetone and ozone: Evidence for changes in oxidation state and crystal structure during sequential exposures
journal
November 2023
Characteristics of high aspect ratio SiO2 etching using C4H2F6 isomers
journal
December 2023
Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature
journal
December 2020
Investigation of fluoride and silica removal from semiconductor wastewaters with a clean coagulation-ultrafiltration process
journal
June 2022
Assessment of removal efficiency of perfluorocompounds (PFCs) in a semiconductor fabrication plant by gas chromatography
journal
August 2009
Atmospheric chemistry of short-chain haloolefins: Photochemical ozone creation potentials (POCPs), global warming potentials (GWPs), and ozone depletion potentials (ODPs)
journal
June 2015
Comparison of different interatomic potentials for MD simulations of AlN
journal
December 2017
DeePMD-kit: A deep learning package for many-body potential energy representation and molecular dynamics
journal
July 2018
Fluorine-based plasmas: Main features and application in micro-and nanotechnology and in surface treatment
journal
March 2018
Air-source heat pump carbon footprints: HFC impacts and comparison to other heat sources
journal
March 2011
Drawing a chip environmental profile: environmental indicators for the semiconductor industry
journal
January 2015
A review of semiconductor wastewater treatment processes: Current status, challenges, and future trends
journal
December 2023
Catalysts for modern fluorinated refrigerants
journal
April 2021
Effects of atomic layer etching on magnetic properties of CoFeB films: Reduction of Gilbert damping
journal
December 2022
Coupled precipitation-ultrafiltration for treatment of high fluoride-content wastewater
journal
January 2016
The passivation layer formation in the cryo-etching plasma process
journal
May 2007
Molybdenum nanopillar arrays: Fabrication and engineering
journal
October 2021
Production of HF from H2SiF6
journal
January 2016
Climate change and industrial F-gases: A critical and systematic review of developments, sociotechnical systems and policy options for reducing synthetic greenhouse gas emissions
journal
May 2021
Removal of fluoride from industrial wastewater by using different adsorbents: A review
journal
June 2021
The chip manufacturing industry: Environmental impacts and eco-efficiency analysis
journal
February 2023
Molecular dynamics simulation of Si and SiO2 reactive ion etching by fluorine-rich ion species
journal
December 2019
Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis
journal
November 2022
Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma
journal
April 2023
Textured p-type crystalline silicon surfaces obtained by multi-step plasma process for SHJ solar cells
journal
September 2023
Mechanisms of Thermal Atomic Layer Etching
journal
June 2020
Thermodynamics of Atomic Layer Etching Chemistry on Copper and Nickel Surfaces from First Principles
journal
August 2021
Thermal Atomic Layer Etching of Nickel Using Sequential Chlorination and Ligand-Addition Reactions
journal
December 2021
Thermal Atomic Layer Etching of CoO, ZnO, Fe2 O3 , and NiO by Chlorination and Ligand Addition Using SO2 Cl2 and Tetramethylethylenediamine
journal
February 2023
Mechanism of Thermal Al 2 O 3 Atomic Layer Etching Using Sequential Reactions with Sn(acac) 2 and HF
journal
May 2015
Coarse-Grained Protein Models and Their Applications
journal
June 2016
Fluorocarbon Refrigerants and their Syntheses: Past to Present
journal
August 2020
Machine Learning Force Fields and Coarse-Grained Variables in Molecular Dynamics: Application to Materials and Biological Systems
journal
June 2020
Coarse-Grained Modeling Using Neural Networks Trained on Structural Data
journal
September 2023
Coarse-Graining Organic Semiconductors: The Path to Multiscale Design
journal
December 2020
Precise Control of Nanoscale Cu Etching via Gas-Phase Oxidation and Chemical Complexation
journal
January 2021
Molecular Mechanism of Thermal Dry Etching of Iron in a Two-Step Atomic Layer Etching Process: Chlorination Followed by Exposure to Acetylacetone
journal
March 2021
Atomic Layer Etching of AlF 3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac) 2 and Hydrogen Fluoride
journal
November 2015
Atomic Layer Etching: Rethinking the Art of Etch
journal
August 2018
Predicting the Mechanical Properties of Organic Semiconductors Using Coarse-Grained Molecular Dynamics Simulations
journal
March 2016
In Situ Thermal Atomic Layer Etching for Sub-5 nm InGaAs Multigate MOSFETs
journal
June 2019
Mechanism of Thermal Atomic Layer Etch of W Metal Using Sequential Oxidation and Chlorination: A First-Principles Study
journal
July 2020
Achieving a Low-Voltage, High-Mobility IGZO Transistor through an ALD-Derived Bilayer Channel and a Hafnia-Based Gate Dielectric Stack
journal
April 2021
High-Density Patterning of InGaZnO by CH4 : a Comparative Study of RIE and Pulsed Plasma ALE
journal
July 2022
Surface Smoothing by Atomic Layer Deposition and Etching for the Fabrication of Nanodevices
journal
November 2022
Low Global Warming C4 H3 F7 O Isomers for Plasma Etching of SiO2 and Si3 N4 Films
journal
August 2022
Low-Temperature Etching of Cu by Hydrogen-Based Plasmas
journal
July 2010
Atomic Layer Deposition: An Overview
journal
January 2010
The 1.7 Kilogram Microchip: Energy and Material Use in the Production of Semiconductor Devices
journal
December 2002
Abatement of PFCs from Semiconductor Manufacturing Processes by Nonthermal Plasma Technologies: A Critical Review
journal
May 2006
Fluorine–Silicon Surface Reactions during Cryogenic and Near Room Temperature Etching
journal
December 2014
Atomic Layer Etching of Al2 O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride
journal
January 2015
A perpendicular-anisotropy CoFeB–MgO magnetic tunnel junction
journal
July 2010
Ion beam etching redeposition for 3D multimaterial nanostructure manufacturing
journal
April 2019
Physically informed artificial neural networks for atomistic modeling of materials
journal
May 2019
Ultrasensitive MoS2 photodetector by serial nano-bridge multi-heterojunction
journal
October 2019
E(3)-equivariant graph neural networks for data-efficient and accurate interatomic potentials
journal
May 2022
Machine-learned potentials for next-generation matter simulations
journal
May 2021
Human–machine collaboration for improving semiconductor process development
journal
March 2023
The future transistors
journal
August 2023
Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above −50 °C
journal
January 2018
Mechanism understanding in cryo atomic layer etching of SiO2 based upon C4F8 physisorption
journal
January 2021
Selective etching mechanism of silicon oxide against silicon by hydrogen fluoride: a density functional theory study
journal
January 2023
Extraction of chemical structures from literature and patent documents using open access chemistry toolkits: a case study with PFAS
journal
January 2022
Analytical model for ion angular distribution functions at rf biased surfaces with collisionless plasma sheaths
journal
December 2002
Improved interatomic potentials for silicon–fluorine and silicon–chlorine
journal
February 2004
Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition
journal
February 2005
SiOx Fy passivation layer in silicon cryoetching
journal
November 2005
Classical interatomic potential model for Si/H/Br systems and its application to atomistic Si etching simulation by HBr+
journal
January 2009
In situ x-ray photoelectron spectroscopy analysis of SiOxFy passivation layer obtained in a SF6/O2 cryoetching process
journal
February 2009
Absolute vacuum ultraviolet flux in inductively coupled plasmas and chemical modifications of 193 nm photoresist
journal
April 2009
Ion‐ and electron‐assisted gas‐surface chemistry—An important effect in plasma etching
journal
May 1979
High energy electron fluxes in dc-augmented capacitively coupled plasmas. II. Effects on twisting in high aspect ratio etching of dielectrics
journal
January 2010
Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique
journal
February 2010
High aspect ratio silicon etch: A review
journal
September 2010
CF2 production and loss mechanisms in fluorocarbon discharges: Fluorine-poor conditions and polymerization
journal
April 1999
Control of ion energy distribution at substrates during plasma processing
journal
July 2000
Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas
journal
May 2014
Control of ion energy distributions using phase shifting in multi-frequency capacitively coupled plasmas
journal
June 2015
Cryogenic plasma-processed silicon microspikes as a high-performance anode material for lithium ion-batteries
journal
October 2017
NiAl as a potential material for liner- and barrier-free interconnect in ultrasmall technology node
journal
October 2018
Cryo atomic layer etching of SiO 2 by C 4 F 8 physisorption followed by Ar plasma
journal
October 2019
Machine learning for interatomic potential models
journal
February 2020
The search for the most conductive metal for narrow interconnect lines
journal
February 2020
Low‐temperature reactive ion etching and microwave plasma etching of silicon
journal
February 1988
Characterization of descriptors in machine learning for data-based sputtering yield prediction
journal
January 2021
Three-dimensional measurements of fundamental plasma parameters in pulsed ICP operation
journal
June 2020
Coarse graining molecular dynamics with graph neural networks
journal
November 2020
Multiscale modeling of plasma–surface interaction—General picture and a case study of Si and SiO2 etching by fluorocarbon-based plasmas
journal
October 2021
Deep coarse-grained potentials via relative entropy minimization
journal
December 2022
Cryogenic etching of silicon compounds using a CHF3 based plasma
journal
March 2023
Multilayering FeGa with NiFe and Al2O3 to enhance the soft magnetic properties
journal
August 2023
Kinetic simulation of a 50 mTorr capacitively coupled argon discharge over a range of frequencies and comparison to experiments
journal
August 2023
Isotropic atomic layer etching of GaN using SF6 plasma and Al(CH3)3
journal
August 2023
An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases
journal
November 2023
Interatomic potentials: achievements and challenges
journal
November 2022
Inductively coupled plasmas in low global-warming-potential gases
journal
August 2000
Silicon columnar microstructures induced by an SF 6 /O 2 plasma
journal
September 2005
Ion energy and angular distributions into the wafer–focus ring gap in capacitively coupled discharges
journal
February 2008
Molecular dynamics for low temperature plasma–surface interaction studies
journal
September 2009
Hybrid modelling of low temperature plasmas for fundamental investigations and equipment design
journal
September 2009
The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films
journal
July 2011
Highly selective silicon nitride etching to Si and SiO2 for a gate sidewall spacer using a CF3 I/O2 /H2 neutral beam
journal
May 2013
Plasma cryogenic etching of silicon: from the early days to today's advanced technologies
journal
March 2014
Ion beam experiments for the study of plasma–surface interactions
journal
May 2014
The grand challenges of plasma etching: a manufacturing perspective
journal
June 2014
Pulsed plasma etching for semiconductor manufacturing
journal
July 2014
Cryogenic etching processes applied to porous low-k materials using SF6 /C4 F8 plasmas
journal
September 2015
Theory of the oxidation of metals
journal
January 1949
Reactions of halogens with surfaces stimulated by VUV light
journal
July 2006
Progress of radical measurements in plasmas for semiconductor processing
journal
April 2006
Controlling VUV photon fluxes in low-pressure inductively coupled plasmas
journal
May 2015
Tailored-waveform excitation of capacitively coupled plasmas and the electrical asymmetry effect
journal
November 2015
Ion energy distributions in rf sheaths; review, analysis and simulation
journal
January 1999
Electron collisions—experiment, theory, and applications
journal
June 2018
Etching with atomic precision by using low electron temperature plasma
journal
June 2017
Machine learning for modeling, diagnostics, and control of non-equilibrium plasmas
journal
May 2019
Control of electron velocity distributions at the wafer by tailored voltage waveforms in capacitively coupled plasmas to compensate surface charging in high-aspect ratio etch features
journal
April 2021
Fast and realistic 3D feature profile simulation platform for plasma etching process
journal
March 2022
Physics-separating artificial neural networks for predicting initial stages of Al sputtering and thin film deposition in Ar plasma discharges
journal
February 2023
Computational approach for plasma process optimization combined with deep learning model
journal
May 2023
A regression model for plasma reaction kinetics
journal
June 2023
Computational simulations of spatio—temporal plasma dynamics in a very high frequency capacitively coupled reactor
journal
August 2023
In situ measurement of VUV/UV radiation from low-pressure microwave-produced plasma in Ar/O2 gas mixtures
journal
July 2017
Charged particle dynamics and distribution functions in low pressure dual-frequency capacitively coupled plasmas operated at low frequencies and high voltages
journal
July 2020
The 2021 release of the Quantemol database (QDB) of plasma chemistries and reactions
journal
September 2022
Foundations of atomic-level plasma processing in nanoelectronics
journal
October 2022
Foundations of machine learning for low-temperature plasmas: methods and case studies
journal
February 2023
Plasma dynamics in a capacitively coupled discharge driven by a combination of a single high frequency and a tailored low frequency rectangular voltage waveform
journal
March 2023
Benchmarking and validation of a hybrid model for electropositive and electronegative capacitively coupled plasmas
journal
May 2023
Modeling of very high frequency large-electrode capacitively coupled plasmas with a fully electromagnetic particle-in-cell code
journal
April 2023
How robust are modern graph neural network potentials in long and hot molecular dynamics simulations?
journal
November 2022
Quantum Efficiency of Silicon in the Vacuum Ultraviolet
journal
April 1964
Influence of doping on the etching of Si(111)
journal
October 1987
Photochemical etching of silicon: The influence of photogenerated charge carriers
journal
May 1989
Ab Initio Study of Hot Carriers in the First Picosecond after Sunlight Absorption in Silicon
journal
June 2014
Generalized Neural-Network Representation of High-Dimensional Potential-Energy Surfaces
journal
April 2007
Simulation of elevated temperature aluminum metallization using SIMBAD
journal
July 1992
Robust, stable, and accurate boundary movement for physical etching and deposition simulation
journal
January 1997
Analytical solution for capacitive RF sheath
journal
January 1988
Dynamics of a collisional, capacitive RF sheath
journal
April 1989
Design of ion-implanted MOSFET's with very small physical dimensions
journal
October 1974
2.5D and 3D Heterogeneous Integration: Emerging applications
journal
January 2021
Cramming more components onto integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp.114 ff.
journal
September 2006
A Study on OTS-PCM Pillar Cell for 3-D Stackable Memory
journal
November 2018
2022 Review of Data-Driven Plasma Science
journal
January 2023
High Accuracy Simulation of Silicon Oxynitride Film Grown by Plasma Enhanced Chemical Vapor Deposition
journal
May 2022
Control of surface reactions in high-performance SiO2 etching
Tatsumi, T.; Matsui, M.; Okigawa, M.
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Vol. 18, Issue 4
https://doi.org/10.1116/1.1305807
journal
July 2000
Absolute intensities of the vacuum ultraviolet spectra in oxide etch plasma processing discharges
Woodworth, J. R.; Riley, M. E.; Amatucci, V. A.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 19, Issue 1
https://doi.org/10.1116/1.1335685
journal
January 2001
Semiempirical profile simulation of aluminum etching in a Cl2/BCl3 plasma
Cooperberg, D. J.; Vahedi, V.; Gottscho, R. A.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 20, Issue 5
https://doi.org/10.1116/1.1494818
journal
September 2002
Monte Carlo simulation method for etching of deep trenches in Si by a SF6/O2 plasma mixture
journal
January 2003
Reduction of ultraviolet-radiation damage in SiO2 using pulse-time-modulated plasma and its application to charge coupled 44 device image sensor processes
Okigawa, Mitsuru; Ishikawa, Yasushi; Samukawa, Seiji
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Vol. 21, Issue 6
https://doi.org/10.1116/1.1629712
journal
November 2003
Etching yield of SiO2 irradiated by F+, CFx+ (x=1,2,3) ion with energies from 250 to 2000 eV
Karahashi, Kazuhiro; Yanai, Ken-ichi; Ishikawa, Kenji
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 22, Issue 4
https://doi.org/10.1116/1.1761119
journal
June 2004
Using Ni masks in inductively coupled plasma etching of high density hole patterns in GaN
Hsu, David S. Y.; Kim, Chul Soo; Eddy, Charles R.
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 23, Issue 4
https://doi.org/10.1116/1.1978896
journal
January 2005
Oxidation threshold in silicon etching at cryogenic temperatures
journal
June 2006
Low-damage low-k etching with an environmentally friendly CF3I plasma
Soda, Eiichi; Kondo, Seiichi; Saito, Shuichi
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 26, Issue 4
https://doi.org/10.1116/1.2919137
journal
July 2008
Plasma atomic layer etching using conventional plasma equipment
journal
January 2009
Etching of SiO2 in C4F8∕Ar plasmas. II. Simulation of surface roughening and local polymerization
journal
February 2010
High rate deep Si etching for through-silicon via applications
Sakai, Itsuko; Sakurai, Noriko; Ohiwa, Tokuhisa
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 29, Issue 2
https://doi.org/10.1116/1.3543635
journal
January 2011
Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF+, CF2+, CHF2+, and CH2F+ ions
Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 29, Issue 5
https://doi.org/10.1116/1.3610981
journal
July 2011
Surprising importance of photo-assisted etching of silicon in chlorine-containing plasmas
Shin, Hyungjoo; Zhu, Weiye; Donnelly, Vincent M.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 30, Issue 2
https://doi.org/10.1116/1.3681285
journal
March 2012
Pulsed high-density plasmas for advanced dry etching processes
Banna, Samer; Agarwal, Ankur; Cunge, Gilles
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 30, Issue 4
https://doi.org/10.1116/1.4716176
journal
July 2012
Plasma etching: Yesterday, today, and tomorrow
journal
September 2013
Fluorocarbon assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma
Metzler, Dominik; Bruce, Robert L.; Engelmann, Sebastian
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2
https://doi.org/10.1116/1.4843575
journal
March 2014
Comparison of surface vacuum ultraviolet emissions with resonance level number densities. I. Argon plasmas
Boffard, John B.; Lin, Chun C.; Culver, Cody
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2
https://doi.org/10.1116/1.4859376
journal
March 2014
Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements
Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 4
https://doi.org/10.1116/1.4885061
journal
June 2014
Study on contact distortion during high aspect ratio contact SiO 2 etching
journal
March 2015
Overview of atomic layer etching in the semiconductor industry
Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 2
https://doi.org/10.1116/1.4913379
journal
March 2015
Transfer of nanopantography-defined patterns using highly selective plasma etching
Tian, Siyuan; Donnelly, Vincent M.; Economou, Demetre J.
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 33, Issue 3
https://doi.org/10.1116/1.4918716
journal
May 2015
Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4 F 8 and Ar/CHF 3 plasma
Metzler, Dominik; Li, Chen; Engelmann, Sebastian
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1
https://doi.org/10.1116/1.4935462
journal
January 2016
Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T e plasma sources
Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1
https://doi.org/10.1116/1.4936622
journal
January 2016
Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas
Ohya, Yoshinobu; Tomura, Maju; Ishikawa, Kenji
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4
https://doi.org/10.1116/1.4949570
journal
May 2016
Insights into the mechanism of in-plasma photo-assisted etching using optical emission spectroscopy
Sridhar, Shyam; Liu, Lei; Hirsch, Emilia W.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 6
https://doi.org/10.1116/1.4964641
journal
November 2016
SiO2 etching in an Ar/c-C4F8/O2 dual frequency capacitively coupled plasma
journal
December 2016
Ion beam assisted organic chemical vapor etch of magnetic thin films
Chen, Jack Kun-Chieh; Kim, Taeseung; Altieri, Nicholas D.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 35, Issue 3
https://doi.org/10.1116/1.4978553
journal
March 2017
Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions
Huard, Chad M.; Zhang, Yiting; Sriraman, Saravanapriyan
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 35, Issue 3
https://doi.org/10.1116/1.4979661
journal
May 2017
Directional etch of magnetic and noble metals. II. Organic chemical vapor etch
Chen, Jack Kun-Chieh; Altieri, Nicholas D.; Kim, Taeseung
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 35, Issue 5
https://doi.org/10.1116/1.4983830
journal
September 2017
Bottom profile degradation mechanism in high aspect ratio feature etching based on pattern transfer observation
Negishi, Nobuyuki; Miyake, Masatoshi; Yokogawa, Ken'etsu
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 35, Issue 5
https://doi.org/10.1116/1.4998943
journal
September 2017
SiO2 etch characteristics and environmental impact of Ar/C3F6O chemistry
Lee, Ho Seok; Yang, Kyung Chae; Kim, Soo Gang
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 36, Issue 6
https://doi.org/10.1116/1.5027446
journal
November 2018
Enhanced silicon nitride etching in the presence of F atoms: Quantum chemistry simulation
Barsukov, Yuri V.; Volynets, Vladimir; Kobelev, Anton A.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 36, Issue 6
https://doi.org/10.1116/1.5044647
journal
August 2018
Molecular mechanisms of atomic layer etching of cobalt with sequential exposure to molecular chlorine and diketones
journal
February 2019
Plasma etching of high aspect ratio features in SiO 2 using Ar/C 4 F 8 /O 2 mixtures: A computational investigation
journal
May 2019
Low-global warming potential fluoroether compounds for plasma etching of SiO2 and Si3N4 layers
journal
July 2019
Insights into different etching properties of continuous wave and atomic layer etching processes for SiO2 and Si3N4 films using voxel-slab model
Kuboi, Nobuyuki; Tatsumi, Tetsuya; Komachi, Jun
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 37, Issue 5
https://doi.org/10.1116/1.5105384
journal
August 2019
Pattern dependent profile distortion during plasma etching of high aspect ratio features in SiO 2
journal
March 2020
Inside the mysterious world of plasma: A process engineer’s perspective
journal
March 2020
Electron beam injection from a hollow cathode plasma into a downstream reactive environment: Characterization of secondary plasma production and Si3 N4 and Si etching
journal
May 2020
Optical spectroscopy for diagnostics and process control during glow discharge etching and sputter deposition
journal
September 1978
Plasma etching—A discussion of mechanisms
journal
March 1979
Structural transitions in ballistic aggregation simulation of thin-film growth
journal
May 1988
Monte Carlo low pressure deposition profile simulations
Rey, Juan C.; Cheng, Lie-Yea; McVittie, James P.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 9, Issue 3
https://doi.org/10.1116/1.577580
journal
May 1991
Fluorocarbon high‐density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3
journal
March 1994
Microprofile simulations for plasma etching with surface passivation
journal
September 1994
Surface processes in plasma-assisted etching environments
journal
January 1983
Chemical sputtering of silicon by F+, Cl+, and Br+ ions: Reactive spot model for reactive ion etching
Tachi, Shin’ichi; Okudaira, Sadayuki
Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena, Vol. 4, Issue 2
https://doi.org/10.1116/1.583404
journal
March 1986
Silicon doping effects in reactive plasma etching
journal
March 1986
Reaction of silicon with chlorine and ultraviolet laser induced chemical etching mechanisms
journal
September 1989
Microscopic uniformity in plasma etching
journal
September 1992
Profile modeling of high density plasma oxide etching
journal
July 1995
Across-wafer nonuniformity of long throw sputter deposition
Mayo, A. A.; Hamaguchi, S.; Joo, J. H.
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Vol. 15, Issue 5
https://doi.org/10.1116/1.589526
journal
September 1997
Patterning nickel for extreme ultraviolet lithography mask application I. Atomic layer etch processing
journal
June 2020
Patterning nickel for extreme ultraviolet lithography mask application. II. Hybrid reactive ion etch and atomic layer etch processing
journal
July 2020
Dry etching strategy of spin-transfer-torque magnetic random access memory: A review
Islam, Rabiul; Cui, Bo; Miao, Guo-Xing
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 38, Issue 5
https://doi.org/10.1116/6.0000205
journal
August 2020
Formation and desorption of nickel hexafluoroacetylacetonate Ni(hfac)2 on a nickel oxide surface in atomic layer etching processes
Basher, Abdulrahman H.; Krstić, Marjan; Fink, Karin
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 38, Issue 5
https://doi.org/10.1116/6.0000293
journal
August 2020
Review on recent progress in patterning phase change materials
Shen, Meihua; Lill, Thorsten; Altieri, Nick
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 38, Issue 6
https://doi.org/10.1116/6.0000336
journal
September 2020
Effects of O2 addition on in-plasma photo-assisted etching of Si with chlorine
journal
September 2020
Universal scaling relationship for atomic layer etching
journal
January 2021
Atomic layer etching of GaN using Cl2 and He or Ar plasma
Ruel, Simon; Pimenta-Barros, Patricia; Le Roux, Frédéric
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 39, Issue 2
https://doi.org/10.1116/6.0000830
journal
February 2021
Thermal atomic layer etching of germanium-rich SiGe using an oxidation and “conversion-etch” mechanism
Abdulagatov, Aziz I.; Sharma, Varun; Murdzek, Jessica A.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 39, Issue 2
https://doi.org/10.1116/6.0000834
journal
February 2021
Thermal atomic layer etching: A review
journal
May 2021
Model analysis of the feature profile evolution during Si etching in HBr-containing plasmas
journal
May 2021
Effect of nonvertical ion bombardment due to edge effects on polymer surface morphology evolution and etching uniformity
journal
May 2021
Plasma-enhanced atomic layer deposition of SiO2 film using capacitively coupled Ar/O2 plasmas: A computational investigation
Qu, Chenhui; Sakiyama, Yukinori; Agarwal, Pulkit
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 39, Issue 5
https://doi.org/10.1116/6.0001121
journal
August 2021
Molecular dynamics simulation for reactive ion etching of Si and SiO2 by SF5+ ions
Tinacba, Erin Joy Capdos; Ito, Tomoko; Karahashi, Kazuhiro
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 39, Issue 4
https://doi.org/10.1116/6.0001230
journal
July 2021
Effects of structured electrodes on electron power absorption and plasma uniformity in capacitive RF discharges
journal
October 2021
Deposit and etchback approach for ultrathin Al2O3 films with low pinhole density using atomic layer deposition and atomic layer etching
Gertsch, Jonas C.; Sortino, Emanuele; Bright, Victor M.
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 39, Issue 6
https://doi.org/10.1116/6.0001340
journal
November 2021
Efficient plasma-surface interaction surrogate model for sputtering processes based on autoencoder neural networks
journal
January 2022
Plasma atomic layer etching for titanium nitride at low temperatures
journal
February 2022
Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride
journal
February 2022
Plasma-based area selective deposition for extreme ultraviolet resist defectivity reduction and process window improvement
journal
May 2022
In-depth feasibility study of extreme ultraviolet damascene extension: Patterning, dielectric etch, and metallization
journal
February 2022
Molecular dynamics study of silicon atomic layer etching by chlorine gas and argon ions
journal
March 2022
Control of etch profiles in high aspect ratio holes via precise reactant dosing in thermal atomic layer etching
journal
February 2022
Absolute measurement of vacuum ultraviolet photon flux in an inductively coupled plasma using a Au thin film
journal
March 2022
In-plasma photo-assisted etching of Si with chlorine aided by an external vacuum ultraviolet source
journal
March 2022
Optimization of silicon etch rate in a CF4/Ar/O2 inductively coupled plasma
journal
April 2022
Cryogenic nanoscale etching of silicon nitride selectively to silicon by alternating SiF4/O2 and Ar plasmas
journal
August 2022
Molecular dynamics simulation of Si trench etching with SiO2 hard masks
journal
August 2022
Molecular dynamics simulation of oxide-nitride bilayer etching with energetic fluorocarbon ions
journal
November 2022
Dry etching in the presence of physisorption of neutrals at lower temperatures
journal
February 2023
Electron beam-induced etching of SiO2, Si3N4, and poly-Si assisted by CF4/O2 remote plasma
journal
December 2022
Control of the ion flux and energy distribution of dual-frequency capacitive RF plasmas by the variation of the driving voltages
journal
February 2023
Voltage waveform tailoring for high aspect ratio plasma etching of SiO2 using Ar/CF4/O2 mixtures: Consequences of ion and electron distributions on etch profiles
journal
December 2022
Exploring the self-limiting atomic layer etching of AlGaN: A study of O2-BCl3 and chlorinate-argon systems
journal
June 2023
Mechanism of residue formation on Ge-rich germanium antimony tellurium alloys after plasma etching
journal
August 2023
Defect detection strategies and process partitioning for single-expose EUV patterning
journal
September 2018
Investigating metal oxide resists for patterning 28-nm pitch structures using single exposure extreme ultraviolet: defectivity, electrical test, and voltage contrast study
journal
October 2022
Modeling of plasma processing reactors: review and perspective
journal
November 2023
Review: Machine learning for advancing low-temperature plasma modeling and simulation
journal
December 2023
STT MRAM patterning challenges
conference
March 2013
EUV patterning successes and frontiers
conference
March 2016
Reducing EUV mask 3D effects by alternative metal absorbers
conference
March 2017
Update on optical material properties for alternative EUV mask absorber materials
conference
September 2017
Line roughness improvements on EUV 36nm pitch pattern by plasma treatment method
conference
March 2019
Effect of surface temperature on GeSbTe damage formation during plasma processing
conference
March 2021
EUV resist curing technique for LWR reduction and etch selectivity enhancement
conference
March 2012
Patterning enhancement techniques by reactive ion etch
conference
March 2012
Numerical Simulation of Cryogenic Etching: Model with Delayed Desorption
journal
January 2021
Photo-Excited Etching of Poly-Crystalline and Single-Crystalline Silicon in Cl2 Atmosphere
journal
January 1985
Single Silicon Etching Profile Simulation
journal
January 1988
SiO2 Tapered Etching Employing Magnetron Discharge of Fluorocarbon Gas
journal
February 1992
Realistic Etch Yield of Fluorocarbon Ions in SiO2 Etch Process
journal
July 1999
Quantum Chemical Study on Decomposition and Polymer Deposition in Perfluorocarbon Plasmas: Molecular Orbital Calculations of Excited States of Perfluorocarbons
journal
February 2001
Dry Etching of SiO2 Thin Films with Perfluoropropenoxide–O2 and Perfluoropropene–O2 Plasmas
journal
October 2002
Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch
journal
September 2003
Investigation of Bowing Reduction in SiO2 Etching Taking into Account Radical Sticking in a Hole
journal
December 2007
Developments of Plasma Etching Technology for Fabricating Semiconductor Devices
journal
March 2008
Effects of Mask and Necking Deformation on Bowing and Twisting in High-Aspect-Ratio Contact Hole Etching
journal
August 2009
Chemical Processing of Materials on Silicon: More Functionality, Smaller Features, and Larger Wafers
journal
July 2012
Damascene copper electroplating for chip interconnections
journal
September 1998
Silicon CMOS devices beyond scaling
journal
July 2006
A Review of SiO[sub 2] Etching Studies in Inductively Coupled Fluorocarbon Plasmas
journal
January 2001
Application of Volatility Diagrams for Low Temperature, Dry Etching, and Planarization of Copper
journal
January 2002
The Possibility of Carbonyl Fluoride as a New CVD Chamber Cleaning Gas
journal
January 2004
Inductively Coupled Plasma Etching of Bulk Titanium for MEMS Applications
journal
January 2005
Principal Component Analysis of Optical Emission Spectroscopy and Mass Spectrometry: Application to Reactive Ion Etch Process Parameter Estimation Using Neural Networks
journal
March 1992
Tungsten Etching in CF 4 and SF 6 Discharges
journal
January 1984
Two Cryogenic Processes Involving SF[sub 6], O[sub 2], and SiF[sub 4] for Silicon Deep Etching
journal
January 2008
Subtractive Etching of Cu with Hydrogen-Based Plasmas
journal
October 2010
Etching of CoFeB Using CO∕NH[sub 3] in an Inductively Coupled Plasma Etching System
journal
January 2011
Low Damage Cryogenic Etching of Porous Organosilicate Low-k Materials Using SF6 /O2 /SiF4
journal
January 2013
Atomic Layer Etching: An Industry Perspective
journal
January 2015
Highly Selective Directional Atomic Layer Etching of Silicon
journal
January 2015
Atomic Layer Etching of HfO 2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac) 2 and HF
journal
January 2015
Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?
journal
January 2015
Atomic Layer Etching at the Tipping Point: An Overview
journal
January 2015
Electron Beam Generated Plasmas for Ultra Low T e Processing
journal
January 2015
Inductively Coupled Plasma Reactive Ion Etching of Magnetic Tunnel Junction Stacks in a CH3COOH/Ar Gas
journal
August 2015
Etch Characteristics of Low-K Materials Using CF3 I/C4 F8 /Ar/O2 Inductively Coupled Plasmas
journal
July 2022
Modeling of the plasma chemistry and plasma–surface interactions in reactive plasmas
journal
April 2010
Beyond 10 μm Depth Ultra-High Speed Etch Process with 84% Lower Carbon Footprint for Memory Channel Hole of 3D NAND Flash over 400 Layers
conference
June 2023
Assembly and Curation of Lists of Per- and Polyfluoroalkyl Substances (PFAS) to Support Environmental Science Research
journal
April 2022
An Approach to Reduce Greenhouse Gases in the Semiconductor Industry Using F2 Dissociated in Plasma for CVD Chamber Cleaning
journal
May 2018
Data Needs for Modeling Low-Temperature Non-Equilibrium Plasmas: The LXCat Project, History, Perspectives and a Tutorial
journal
February 2021
Exploring Topological Semi-Metals for Interconnects
journal
February 2023
Development of Virtual Metrology Using Plasma Information Variables to Predict Si Etch Profile Processed by SF6/O2/Ar Capacitively Coupled Plasma
journal
June 2021
Investigation of SiO2 Etch Characteristics by C6F6/Ar/O2 Plasmas Generated Using Inductively Coupled Plasma and Capacitively Coupled Plasma
journal
February 2022
Contribution of Ion Energy and Flux on High-Aspect Ratio SiO2 Etching Characteristics in a Dual-Frequency Capacitively Coupled Ar/C4F8 Plasma: Individual Ion Energy and Flux Controlled
journal
May 2023
Characterization of SiO2 Plasma Etching with Perfluorocarbon (C4F8 and C6F6) and Hydrofluorocarbon (CHF3 and C4H2F6) Precursors for the Greenhouse Gas Emissions Reduction
journal
August 2023
High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results
journal
August 2020
Recent Progress of Atomic Layer Technology in Spintronics: Mechanism, Materials and Prospects
journal
February 2022
On the Vertically Stacked Gate-All-Around Nanosheet and Nanowire Transistor Scaling beyond the 5 nm Technology Node
journal
May 2022
Life-Cycle Assessment of Refrigerants for Air Conditioners Considering Reclamation and Destruction
journal
December 2022
The EU’s Per- and Polyfluoroalkyl Substances (PFAS) Ban: A Case of Policy over Science
journal
August 2023
Self-limiting processes in thermal atomic layer etching of nickel by hexafluoroacetylacetone
journal
August 2020
Novel technology of high-aspect-ratio etch utilizing coverage-controllable atomic layer deposition
journal
June 2022
Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
journal
November 2022
Modeling and simulation of coverage and film properties in deposition process on large-scale pattern using statistical ensemble method
journal
March 2023
Progress report on high aspect ratio patterning for memory devices
journal
May 2023
Atomic layer deposition and its derivatives for extreme ultraviolet (EUV) photoresist applications
journal
June 2023
Comparison of distributions of etching rate and calculated plasma parameters in dual-frequency capacitively coupled plasma
journal
August 2023
Anisotropic/Isotropic Atomic Layer Etching of Metals
journal
May 2020
Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system
journal
January 2019
Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product development
journal
May 2019
Formation mechanism of sidewall striation in high-aspect-ratio hole etching
journal
May 2019
Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective
journal
May 2019
Impact of CoFeB surface roughness on reliability of MgO films in CoFeB/MgO/CoFeB magnetic tunnel junction
journal
July 2019
Multiscale plasma and feature profile simulations of plasma-enhanced chemical vapor deposition and atomic layer deposition processes for titanium thin film fabrication
journal
February 2020
Wavelength Dependence of Photon-Induced Interface Defects in Hydrogenated Silicon Nitride/Si Structure during Plasma Etching Processes
journal
May 2013
In-situ detection method for wafer movement and micro-arc discharge around a wafer in plasma etching process using electrostatic chuck wafer stage with built-in acoustic emission sensor
journal
January 2014
Defect generation in electronic devices under plasma exposure: Plasma-induced damage
journal
May 2017
Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions?
journal
June 2017
Atomic layer etching of GaN and AlGaN using directional plasma-enhanced approach
journal
May 2017
Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas
journal
May 2017
Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?
journal
May 2018
Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching
journal
April 2018
Effect of substrate temperature on sidewall erosion in high-aspect-ratio Si hole etching employing HBr/SF6 /O2 plasma
journal
August 2018