skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Etching of high aspect ratio features in Si using SF{sub 6}/O{sub 2}/HBr and SF{sub 6}/O{sub 2}/Cl{sub 2} plasma

Journal Article · · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films
DOI:https://doi.org/10.1116/1.2049303· OSTI ID:20723206
; ; ;  [1]
  1. Department of Chemical Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

We have investigated the etching of high aspect ratio holes ({approx}4 {mu}m deep, {approx}0.2 {mu}m diameter) in silicon using plasmas maintained in mixtures of SF{sub 6}, O{sub 2}, and HBr or Cl{sub 2} gases. The etching experiments were conducted in a low pressure (25 mTorr), high density, inductively coupled plasma etching reactor with a planar coil. Visualization of the profiles with scanning electron microscopy is used in conjunction with plasma diagnostics such as optical emission and mass spectroscopies to understand the key factors that control the feature profile shape and etch rate. HBr addition to SF{sub 6}/O{sub 2} mixture reduces the F-to-O ratio, increases sidewall passivation and reduces mask undercut. Addition of Cl{sub 2} to SF{sub 6}/O{sub 2} discharge also decreases the F-to-O ratio, but Cl-enhanced F chemical etching of silicon significantly increases the mask undercut and lateral etching. In both SF{sub 6}/O{sub 2}/HBr and SF{sub 6}/O{sub 2}/Cl{sub 2} mixtures, reduction of O{sub 2} flow rate and subsequent increase of the halogen-to-O ratio eventually results in significant lateral etching because of the lack of oxygen required to form a siliconoxyhalide passivating film on the sidewalls.

OSTI ID:
20723206
Journal Information:
Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films, Vol. 23, Issue 6; Other Information: DOI: 10.1116/1.2049303; (c) 2005 American Vacuum Society; Country of input: International Atomic Energy Agency (IAEA); ISSN 0734-2101
Country of Publication:
United States
Language:
English

Similar Records

Feature scale model of Si etching in SF{sub 6}/O{sub 2}/HBr plasma and comparison with experiments
Journal Article · Wed Mar 15 00:00:00 EST 2006 · Journal of Vacuum Science and Technology. A, International Journal Devoted to Vacuum, Surfaces, and Films · OSTI ID:20723206

Polysilicon gate etching in high density plasmas. V. Comparison between quantitative chemical analysis of photoresist and oxide masked polysilicon gates etched in HBr/Cl{sub 2}/O{sub 2} plasmas
Journal Article · Wed Jan 01 00:00:00 EST 1997 · Journal of Vacuum Science and Technology. B, Microelectronics Processing and Phenomena · OSTI ID:20723206

Feature-scale model of Si etching in SF{sub 6}/O{sub 2} plasma and comparison with experiments
Journal Article · Thu Sep 15 00:00:00 EDT 2005 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:20723206