skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: DFSynthesizer: Dataflow-based Synthesis of Spiking Neural Networks to Neuromorphic Hardware

Journal Article · · ACM Transactions on Embedded Computing Systems
DOI:https://doi.org/10.1145/3479156· OSTI ID:1980837

Spiking Neural Networks (SNNs) are an emerging computation model that uses event-driven activation and bio-inspired learning algorithms. SNN-based machine learning programs are typically executed on tile-based neuromorphic hardware platforms, where each tile consists of a computation unit called a crossbar, which maps neurons and synapses of the program. However, synthesizing such programs on an off-the-shelf neuromorphic hardware is challenging. This is because of the inherent resource and latency limitations of the hardware, which impact both model performance, e.g., accuracy, and hardware performance, e.g., throughput. We propose DFSynthesizer, an end-to-end framework for synthesizing SNN-based machine learning programs to neuromorphic hardware. The proposed framework works in four steps. First, it analyzes a machine learning program and generates SNN workload using representative data. Second, it partitions the SNN workload and generates clusters that fit on crossbars of the target neuromorphic hardware. Third, it exploits the rich semantics of the Synchronous Dataflow Graph (SDFG) to represent a clustered SNN program, allowing for performance analysis in terms of key hardware constraints such as number of crossbars, dimension of each crossbar, buffer space on tiles, and tile communication bandwidth. Finally, it uses a novel scheduling algorithm to execute clusters on crossbars of the hardware, guaranteeing hardware performance. We evaluate DFSynthesizer with 10 commonly used machine learning programs. Our results demonstrate that DFSynthesizer provides a much tighter performance guarantee compared to current mapping approaches.

Research Organization:
Drexel Univ., Philadelphia, PA (United States)
Sponsoring Organization:
USDOE Office of Science (SC)
DOE Contract Number:
SC0022014
OSTI ID:
1980837
Journal Information:
ACM Transactions on Embedded Computing Systems, Vol. 21, Issue 3; ISSN 1539-9087
Publisher:
Association for Computing Machinery (ACM)
Country of Publication:
United States
Language:
English

References (82)

Cognitive computing programming paradigm: A Corelet Language for composing networks of neurosynaptic cores
  • Amir, Arnon; Datta, Pallab; Risk, William P.
  • 2013 International Joint Conference on Neural Networks (IJCNN 2013 - Dallas), The 2013 International Joint Conference on Neural Networks (IJCNN) https://doi.org/10.1109/IJCNN.2013.6707078
conference August 2013
TraNNsformer: Neural network transformation for memristive crossbar based neuromorphic system design conference November 2017
Neuromorphic Computing Across the Stack: Devices, Circuits and Architectures conference October 2018
The Internet of Things: A survey journal October 2010
A pipelined and scalable dataflow implementation of convolutional neural networks on FPGA conference May 2017
PyCARL: A PyNN Interface for Hardware-Software Co-Simulation of Spiking Neural Network conference July 2020
Power-Accuracy Trade-Offs for Heartbeat Classification on Neural Networks Hardware journal December 2018
A Framework for the Analysis of Throughput-Constraints of SNNs on Neuromorphic Hardware conference July 2019
Compiling Spiking Neural Networks to Mitigate Neuromorphic Hardware Constraints conference October 2020
Mapping Spiking Neural Networks to Neuromorphic Hardware journal January 2020
Run-time Mapping of Spiking Neural Networks to Neuromorphic Hardware journal July 2020
A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing journal July 2019
Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial Decomposition journal September 2021
NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration with Spiking Neural Networks conference October 2021
Design Methodology for Embedded Approximate Artificial Neural Networks conference May 2019
Exploration of Segmented Bus As Scalable Global Interconnect for Neuromorphic Computing conference May 2019
Nengo: a Python tool for building large-scale functional brain models journal January 2014
Neurogrid: A Mixed-Analog-Digital Multichip System for Large-Scale Neural Simulations journal May 2014
Maximum-throughput mapping of SDFGs on multi-core SoC platforms journal October 2013
Neuromorphic computing using non-volatile memory journal October 2016
Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks journal January 2017
Using Dataflow to Optimize Energy Efficiency of Deep Neural Network Accelerators journal January 2017
CARLsim 4: An Open Source Library for Large Scale, Biologically Detailed Spiking Neural Network Simulation using Heterogeneous Clusters conference July 2018
An efficient and versatile scheduling algorithm based on SDC formulation conference January 2006
Modeling static-order schedules in synchronous dataflow graphs conference March 2012
Adaptive and Hierarchical Runtime Manager for Energy-Aware Thermal Management of Embedded Systems journal January 2016
Heartbeat classification in wearables using multi-layer perceptron and time-frequency joint distribution of ECG
  • Das, Anup; Catthoor, Francky; Schaafsma, Siebren
  • CHASE '18: ACM/IEEE International Conference on Connected Health: Applications, Systems and Engineering Technologies, Proceedings of the 2018 IEEE/ACM International Conference on Connected Health: Applications, Systems and Engineering Technologies https://doi.org/10.1145/3278576.3278598
conference September 2018
Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs conference October 2012
Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware conference May 2018
Energy-Aware Communication and Remapping of Tasks for Reliable Multimedia Multiprocessor Systems conference December 2012
Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems conference September 2013
Communication and Migration Energy Aware Design Space Exploration for Multicore Systems with Intermittent Faults conference January 2013
Communication and migration energy aware task mapping for reliable multiprocessor systems journal January 2014
Energy-aware task mapping and scheduling for reliable embedded computing systems journal January 2014
Reliability and Energy-Aware Mapping and Scheduling of Multimedia Applications on Multiprocessor Systems journal March 2016
Unsupervised heart-rate estimation in wearables with Liquid states and a probabilistic readout journal March 2018
Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs conference July 2013
Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones conference July 2015
Mapping of local and global synapses on spiking neuromorphic hardware conference March 2018
ImageNet: A large-scale hierarchical image database
  • Deng, Jia; Dong, Wei; Socher, Richard
  • 2009 IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops (CVPR Workshops), 2009 IEEE Conference on Computer Vision and Pattern Recognition https://doi.org/10.1109/CVPR.2009.5206848
conference June 2009
Unsupervised learning of digit recognition using spike-timing-dependent plasticity journal August 2015
The SpiNNaker Project journal May 2014
A framework for plasticity implementation on the SpiNNaker neural architecture journal January 2015
The Brian simulator journal September 2009
HFNet: A CNN Architecture Co-designed for Neuromorphic Hardware With a Crossbar Array of Synapses journal October 2020
The NEURON Simulation Environment journal August 1997
Hierarchical Dataflow Modeling of Iterative Applications conference June 2017
NEUTRAMS: Neural network transformation and co-design under neuromorphic hardware constraints conference October 2016
A Recurrent Neural Network Based Model of Predictive Smooth Pursuit Eye Movement in Primates conference July 2018
An Efficient Heuristic Procedure for Partitioning Graphs journal February 1970
Special Session: Reliability Analysis for AI/ML Hardware conference April 2021
Synchronous data flow journal January 1987
A System-Level Simulator for RRAM-Based Neuromorphic Computing Chips
  • Lee, Matthew Kay Fei; Cui, Yingnan; Somu, Thannirmalai
  • ACM Transactions on Architecture and Code Optimization, Vol. 15, Issue 4 https://doi.org/10.1145/3291054
journal December 2018
Networks of spiking neurons: The third generation of neural network models journal December 1997
Design-technology co-optimization for OxRRAM-based synaptic processing unit conference June 2017
A Scalable Multicore Architecture With Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs) journal February 2018
Self-Timed Scheduling Analysis for Real-Time Applications journal June 2007
Machine Learning Applications to DNA Subsequence and Restriction Site Analysis conference December 2020
Spindle
  • Ramasubramanian, Shankar Ganesh; Venkatesan, Rangharajan; Sharad, Mrigank
  • Proceedings of the 2014 international symposium on Low power electronics and design https://doi.org/10.1145/2627369.2627625
conference August 2014
Live demonstration: A scaled-down version of the BrainScaleS wafer-scale neuromorphic system conference May 2012
Adaptive Energy Minimization of OpenMP Parallel Applications on Many-Core Systems
  • Shafik, Rishad A.; Das, Anup; Yang, Sheng
  • Proceedings of the 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures https://doi.org/10.1145/2701310.2701311
conference January 2015
Compiling Spiking Neural Networks to Neuromorphic Hardware
  • Song, Shihao; Balaji, Adarsha; Das, Anup
  • LCTES '20: 21st ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems, The 21st ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems https://doi.org/10.1145/3372799.3394364
conference June 2020
A Case for Lifetime Reliability-Aware Neuromorphic Computing conference August 2020
Design Methodologies for Reliable and Energy-efficient PCM Systems conference October 2020
Exploiting inter- and intra-memory asymmetries for data mapping in hybrid tiered-memories
  • Song, Shihao; Das, Anup; Kandasamy, Nagarajan
  • ISMM '20: 2020 ACM SIGPLAN International Symposium on Memory Management, Proceedings of the 2020 ACM SIGPLAN International Symposium on Memory Management https://doi.org/10.1145/3381898.3397215
conference June 2020
Improving Dependability of Neuromorphic Computing With Non-Volatile Memory conference September 2020
Enabling and Exploiting Partition-Level Parallelism (PALP) in Phase Change Memories journal October 2019
Improving phase change memory performance with data content aware access
  • Song, Shihao; Das, Anup; Mutlu, Onur
  • ISMM '20: 2020 ACM SIGPLAN International Symposium on Memory Management, Proceedings of the 2020 ACM SIGPLAN International Symposium on Memory Management https://doi.org/10.1145/3381898.3397210
conference June 2020
Aging-Aware Request Scheduling for Non-Volatile Main Memory
  • Song, Shihao; Das, Anup; Mutlu, Onur
  • ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, Proceedings of the 26th Asia and South Pacific Design Automation Conference https://doi.org/10.1145/3394885.3431529
conference January 2021
Dynamic Reliability Management in Neuromorphic Computing
  • Song, Shihao; Hanamshet, Jui; Balaji, Adarsha
  • ACM Journal on Emerging Technologies in Computing Systems, Vol. 17, Issue 4 https://doi.org/10.1145/3462330
journal July 2021
A Design Flow for Mapping Spiking Neural Networks to Many-Core Neuromorphic Hardware conference November 2021
Improving Inference Lifetime of Neuromorphic Systems via Intelligent Synapse Mapping conference July 2021
Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs conference June 2007
Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs conference January 2006
Reliability-Performance Trade-offs in Neuromorphic Computing conference October 2020
On the role of system software in energy management of neuromorphic computing
  • Titirsha, Twisha; Song, Shihao; Balaji, Adarsha
  • CF '21: Computing Frontiers Conference, Proceedings of the 18th ACM International Conference on Computing Frontiers https://doi.org/10.1145/3457388.3458664
conference May 2021
Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic Hardware journal February 2022
An EDA framework for large scale hybrid neuromorphic computing systems conference June 2015
An All-Memristor Deep Spiking Neural Computing System: A Step Toward Realizing the Low-Power Stochastic Brain journal October 2018
Memristive crossbar arrays for brain-inspired computing journal March 2019
Neuromorphic Computing with Memristor Crossbar journal May 2018
SDC-based modulo scheduling for pipeline synthesis conference November 2013

Similar Records

Benchmarking the Performance of Neuromorphic and Spiking Neural Network Simulators
Journal Article · Thu Mar 18 00:00:00 EDT 2021 · Neurocomputing · OSTI ID:1980837

Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators
Conference · Thu Dec 23 00:00:00 EST 2021 · OSTI ID:1980837

Design of a Robust Memristive Spiking Neuromorphic System with Unsupervised Learning in Hardware
Journal Article · Wed Jun 30 00:00:00 EDT 2021 · ACM Journal on Emerging Technologies in Computing Systems · OSTI ID:1980837

Related Subjects