skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool

Abstract

For the commercialization of extreme ultraviolet lithography (EUVL), discharge or laser produced, pulsed plasma light sources are being considered. These sources are known to emit into a broad range of wavelengths that are collectively referred to as the out-of-band (OOB) radiation by lithographers. Multilayer EUV optics reflect OOB radiation emitted by the EUV sources onto the wafer plane resulting in unwanted background exposure of the resist (flare) and reduced image contrast. The reflectivity of multilayer optics at the target wavelength of 13.5 nm is comparable to that of their reflectivity in the deep ultraviolet (DUV) and UV regions from 100-350 nm. The aromatic molecular backbones of many of the resists used for EUV are equally absorptive at specific DUV wavelengths as well. In order to study the effect of these wavelengths on imaging performance in a real system, we are in the process of integrating a DUV source into the SEMATECH Berkeley 0.3-NA Microfield Exposure Tool (MET). The MET plays an active role in advanced research in resist and mask development for EUVL and as such, we will utilize this system to systematically evaluate the imaging impact of DUV wavelengths in a EUV system. In this paper, we present themore » optical design for the new DUV component and the simulation-based imaging results predicting the potential impact of OOB based on known resist, mask, and multilayer conditions. It should be noted that because the projection optics work equally well as imaging optics at DUV wavelengths, the OOB radiation cannot be treated simply as uniform background or DC flare.« less

Authors:
; ; ; ;
Publication Date:
Research Org.:
Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)
Sponsoring Org.:
Materials Sciences Division
OSTI Identifier:
960237
Report Number(s):
LBNL-1652E
TRN: US200924%%275
DOE Contract Number:  
DE-AC02-05CH11231
Resource Type:
Journal Article
Journal Name:
Journal of Micronano Lithography, MEMS and MOEMS
Additional Journal Information:
Conference: SPIE advanced lithography 2009, San Jose, CA, February 22-27, 2009
Country of Publication:
United States
Language:
English
Subject:
36 MATERIALS SCIENCE; AROMATICS; COMMERCIALIZATION; DESIGN; LASERS; LIGHT SOURCES; OPTICS; PERFORMANCE; PLASMA; RADIATIONS; REFLECTIVITY; TARGETS; WAVELENGTHS

Citation Formats

George, Simi A, Nauleau, Patrick, Rekawa, Senajith, Gullikson, Eric, and Kemp, Charles D. Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool. United States: N. p., 2009. Web. doi:10.1117/12.814429.
George, Simi A, Nauleau, Patrick, Rekawa, Senajith, Gullikson, Eric, & Kemp, Charles D. Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool. United States. https://doi.org/10.1117/12.814429
George, Simi A, Nauleau, Patrick, Rekawa, Senajith, Gullikson, Eric, and Kemp, Charles D. 2009. "Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool". United States. https://doi.org/10.1117/12.814429. https://www.osti.gov/servlets/purl/960237.
@article{osti_960237,
title = {Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool},
author = {George, Simi A and Nauleau, Patrick and Rekawa, Senajith and Gullikson, Eric and Kemp, Charles D},
abstractNote = {For the commercialization of extreme ultraviolet lithography (EUVL), discharge or laser produced, pulsed plasma light sources are being considered. These sources are known to emit into a broad range of wavelengths that are collectively referred to as the out-of-band (OOB) radiation by lithographers. Multilayer EUV optics reflect OOB radiation emitted by the EUV sources onto the wafer plane resulting in unwanted background exposure of the resist (flare) and reduced image contrast. The reflectivity of multilayer optics at the target wavelength of 13.5 nm is comparable to that of their reflectivity in the deep ultraviolet (DUV) and UV regions from 100-350 nm. The aromatic molecular backbones of many of the resists used for EUV are equally absorptive at specific DUV wavelengths as well. In order to study the effect of these wavelengths on imaging performance in a real system, we are in the process of integrating a DUV source into the SEMATECH Berkeley 0.3-NA Microfield Exposure Tool (MET). The MET plays an active role in advanced research in resist and mask development for EUVL and as such, we will utilize this system to systematically evaluate the imaging impact of DUV wavelengths in a EUV system. In this paper, we present the optical design for the new DUV component and the simulation-based imaging results predicting the potential impact of OOB based on known resist, mask, and multilayer conditions. It should be noted that because the projection optics work equally well as imaging optics at DUV wavelengths, the OOB radiation cannot be treated simply as uniform background or DC flare.},
doi = {10.1117/12.814429},
url = {https://www.osti.gov/biblio/960237}, journal = {Journal of Micronano Lithography, MEMS and MOEMS},
number = ,
volume = ,
place = {United States},
year = {Mon Feb 23 00:00:00 EST 2009},
month = {Mon Feb 23 00:00:00 EST 2009}
}