skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

Journal Article · · Journal of Micro/Nanolithography, MEMS, and MOEMS

The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’s Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.

Research Organization:
Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)
Sponsoring Organization:
USDOE
Grant/Contract Number:
AC02-05CH11231
OSTI ID:
1379419
Journal Information:
Journal of Micro/Nanolithography, MEMS, and MOEMS, Vol. 15, Issue 3; ISSN 1932-5150
Publisher:
SPIECopyright Statement
Country of Publication:
United States
Language:
English
Citation Metrics:
Cited by: 5 works
Citation information provided by
Web of Science

References (15)

Best focus shift mechanism for thick masks conference March 2015
EUV and optical lithographic pattern shift at the 5nm node conference March 2016
Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and reduction ratio conference April 2013
EUV lithography scanner for sub-8nm resolution conference March 2015
EUV lithography optics for sub-9nm resolution conference March 2015
Learning from native defects on EUV mask blanks conference July 2014
Enhancing defect detection with Zernike phase contrast in EUV multilayer blank inspection conference March 2015
Repairing native defects on EUV mask blanks conference October 2014
Understanding EUV mask blank surface roughness induced LWR and associated roughness requirement conference March 2015
Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images
  • Raghunathan, Sudharshanan; Wood, Obert R.; Mangat, Pawitter
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 32, Issue 6 https://doi.org/10.1116/1.4901876
journal November 2014
Imaging impact of multilayer tuning in EUV masks, experimental validation conference October 2014
Actinic mask imaging: recent results and future directions from the SHARP EUV microscope conference April 2014
EUV actinic brightfield mask microscopy for predicting printed defect images conference November 2015
Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope journal November 2015
Fourier-synthesis custom-coherence illuminator for extreme ultraviolet microfield lithography journal January 2003