DOE PAGES title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists

Abstract

Organic–inorganic hybrid resists are emerging as an effective way of addressing stringent process requirements for aggressive down-scaling of semiconducting devices. Yet, hybrid resists generally require complex chemical synthesis while being predominantly negative-tone with high dose requirements. For positive-tone processes and high-aspect-ratio pattern transfers, resist choices are limited to costly, non-hybrid alternatives, whose etch resistance is still inferior compared with hybrid resists. In this work, we demonstrate a novel hybrid positive-tone resist platform utilizing simple ex situ vapor-phase inorganic infiltration into standard resist materials. A model system based on poly(methyl methacrylate) (PMMA) thin film hybridized with aluminum oxide has been demonstrated for electron-beam lithography patterning, featuring a fully controllable critical exposure dose, contrast, and etch resistance. The hybrid resist not only achieves contrast as high as ~30, six-fold enhancement over standard PMMA, but also enables Si nanostructures with resolution down to ~30 nm and an aspect ratio as high as ~17, owing to enhancement of the Si etch selectivity to ~70, with an estimated achievable maximum of ~300, far exceeding known commercial positive-tone resist systems. The easy implementabilility, combined with versatile ex situ control of resist characteristics, makes this hybrid resist synthesis method uniquely suited for addressing the resist performance andmore » high throughput required for advanced nanolithography techniques, such as extreme ultraviolet lithography, possibly.« less

Authors:
ORCiD logo [1]; ORCiD logo [2];  [1];  [1];  [3];  [1]; ORCiD logo [1]
  1. Brookhaven National Lab. (BNL), Upton, NY (United States)
  2. Stony Brook Univ., NY (United States)
  3. Univ. of Texas-Dallas, Richardson, TX (United States)
Publication Date:
Research Org.:
Brookhaven National Laboratory (BNL), Upton, NY (United States)
Sponsoring Org.:
USDOE Office of Science (SC), Basic Energy Sciences (BES)
OSTI Identifier:
1561253
Alternate Identifier(s):
OSTI ID: 1542528
Report Number(s):
BNL-212064-2019-JAAM; BNL-213624-2020-JAAM
Journal ID: ISSN 2050-7526; JMCCCX
Grant/Contract Number:  
SC0012704
Resource Type:
Accepted Manuscript
Journal Name:
Journal of Materials Chemistry C
Additional Journal Information:
Journal Volume: 7; Journal Issue: 29; Journal ID: ISSN 2050-7526
Publisher:
Royal Society of Chemistry
Country of Publication:
United States
Language:
English
Subject:
29 ENERGY PLANNING, POLICY AND ECONOMY; 36 MATERIALS SCIENCE

Citation Formats

Tiwale, Nikhil, Subramanian, Ashwanth, Kisslinger, Kim, Lu, Ming, Kim, Jiyoung, Stein, Aaron, and Nam, Chang-Yong. Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists. United States: N. p., 2019. Web. doi:10.1039/C9TC02974E.
Tiwale, Nikhil, Subramanian, Ashwanth, Kisslinger, Kim, Lu, Ming, Kim, Jiyoung, Stein, Aaron, & Nam, Chang-Yong. Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists. United States. https://doi.org/10.1039/C9TC02974E
Tiwale, Nikhil, Subramanian, Ashwanth, Kisslinger, Kim, Lu, Ming, Kim, Jiyoung, Stein, Aaron, and Nam, Chang-Yong. Mon . "Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists". United States. https://doi.org/10.1039/C9TC02974E. https://www.osti.gov/servlets/purl/1561253.
@article{osti_1561253,
title = {Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists},
author = {Tiwale, Nikhil and Subramanian, Ashwanth and Kisslinger, Kim and Lu, Ming and Kim, Jiyoung and Stein, Aaron and Nam, Chang-Yong},
abstractNote = {Organic–inorganic hybrid resists are emerging as an effective way of addressing stringent process requirements for aggressive down-scaling of semiconducting devices. Yet, hybrid resists generally require complex chemical synthesis while being predominantly negative-tone with high dose requirements. For positive-tone processes and high-aspect-ratio pattern transfers, resist choices are limited to costly, non-hybrid alternatives, whose etch resistance is still inferior compared with hybrid resists. In this work, we demonstrate a novel hybrid positive-tone resist platform utilizing simple ex situ vapor-phase inorganic infiltration into standard resist materials. A model system based on poly(methyl methacrylate) (PMMA) thin film hybridized with aluminum oxide has been demonstrated for electron-beam lithography patterning, featuring a fully controllable critical exposure dose, contrast, and etch resistance. The hybrid resist not only achieves contrast as high as ~30, six-fold enhancement over standard PMMA, but also enables Si nanostructures with resolution down to ~30 nm and an aspect ratio as high as ~17, owing to enhancement of the Si etch selectivity to ~70, with an estimated achievable maximum of ~300, far exceeding known commercial positive-tone resist systems. The easy implementabilility, combined with versatile ex situ control of resist characteristics, makes this hybrid resist synthesis method uniquely suited for addressing the resist performance and high throughput required for advanced nanolithography techniques, such as extreme ultraviolet lithography, possibly.},
doi = {10.1039/C9TC02974E},
journal = {Journal of Materials Chemistry C},
number = 29,
volume = 7,
place = {United States},
year = {Mon Jul 08 00:00:00 EDT 2019},
month = {Mon Jul 08 00:00:00 EDT 2019}
}

Journal Article:
Free Publicly Available Full Text
Publisher's Version of Record

Citation Metrics:
Cited by: 30 works
Citation information provided by
Web of Science

Figures / Tables:

Fig. 1 Fig. 1: Schematic representation of the process flow followed during the current study (a) PMMA 950 A2 was spin coated on cleaned Si substrates with 7500 rpm for 60 sec resulting into ~60 nm PMMA film. During the infiltration process alternating half-cycles of (b) TMA infiltration and (c) water infiltrationmore » are repeated for given number of times in order to synthesize ex-situ processed hybrid resist composition. The sample with hybrid resist is then subjected to e-beam exposure (d); and resulting modified resist with specific patterned areas (e) is then developed in 1:3 MIBK:IPA solution for 45 sec followed by 15 sec IPA rinse to acquire patterned substrate ( f). After an appropriate descum process, the patterned substrate is then subjected to cryogenic Si etch process at -100 °C using combination of SF6-O2 gas mixture to transfer the pattern into the Si substrate (g).« less

Save / Share:

Works referenced in this record:

Deep reactive ion etching of commercial PMMA in O 2 /CHF 3 , and O 2 /Ar-based discharges
journal, March 2004

  • Zhang, Congchun; Yang, Chunsheng; Ding, Duifu
  • Journal of Micromechanics and Microengineering, Vol. 14, Issue 5
  • DOI: 10.1088/0960-1317/14/5/001

Directed self-assembly of block copolymers for nanocircuitry fabrication
journal, January 2015


Infiltrated Zinc Oxide in Poly(methyl methacrylate): An Atomic Cycle Growth Study
journal, December 2016

  • Ocola, Leonidas E.; Connolly, Aine; Gosztola, David J.
  • The Journal of Physical Chemistry C, Vol. 121, Issue 3
  • DOI: 10.1021/acs.jpcc.6b08007

Sub-10-nm high aspect ratio patterning of ZnO in a 500 μm main field
journal, January 2006

  • Saifullah, M. S. M.; Subramanian, K. R. V.; Anderson, D.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 24, Issue 3
  • DOI: 10.1116/1.2192545

Boehmite filled hybrid sol–gel system as directly writable hard etching mask for pattern transfer
journal, August 2011

  • Grenci, Gianluca; Della Giustina, Gioia; Pozzato, Alessandro
  • Microelectronic Engineering, Vol. 88, Issue 8
  • DOI: 10.1016/j.mee.2011.01.012

Platinum and palladium oxalates: positive-tone extreme ultraviolet resists
journal, October 2015

  • Sortland, Miriam; Hotalen, Jodi; Re, Ryan Del
  • Journal of Micro/Nanolithography, MEMS, and MOEMS, Vol. 14, Issue 4
  • DOI: 10.1117/1.JMM.14.4.043511

High aspect ratio etched sub-micron structures in silicon obtained by cryogenic plasma deep-etching through perforated polymer thin films
journal, November 2018


Chemical and Structural Investigation of High-Resolution Patterning with HafSOx
journal, February 2014

  • Oleksak, Richard P.; Ruther, Rose E.; Luo, Feixiang
  • ACS Applied Materials & Interfaces, Vol. 6, Issue 4
  • DOI: 10.1021/am405463u

High-index nanocomposite photoresist for 193-nm lithography
conference, March 2009

  • Bae, Woo Jin; Trikeriotis, Makros; Rodriguez, Robert
  • SPIE Advanced Lithography, SPIE Proceedings
  • DOI: 10.1117/12.814154

Mechanistic understanding of tungsten oxide in-plane nanostructure growth via sequential infiltration synthesis
journal, January 2018

  • Kim, Jae Jin; Suh, Hyo Seon; Zhou, Chun
  • Nanoscale, Vol. 10, Issue 7
  • DOI: 10.1039/C7NR07642H

Organosilicate polymer e-beam resists with high resolution, sensitivity and stability: Organosilicate polymer e-beam resists
journal, October 2013

  • Lee, Sung-Il; Sim, Jae Hwan; Lee, Hae-Jeong
  • Applied Organometallic Chemistry, Vol. 27, Issue 11
  • DOI: 10.1002/aoc.2985

Enhanced Lithographic Imaging Layer Meets Semiconductor Manufacturing Specification a Decade Early
journal, April 2012

  • Tseng, Yu-Chih; Mane, Anil U.; Elam, Jeffrey W.
  • Advanced Materials, Vol. 24, Issue 19, p. 2608-2613
  • DOI: 10.1002/adma.201104871

High sensitive negative silylation process for 193nm lithography
journal, June 2000


Three-dimensional electroactive ZnO nanomesh directly derived from hierarchically self-assembled block copolymer thin films
journal, January 2019

  • Subramanian, Ashwanth; Doerk, Gregory; Kisslinger, Kim
  • Nanoscale, Vol. 11, Issue 19
  • DOI: 10.1039/C9NR00206E

Low temperature development of PMMA for sub-10-nm electron beam lithography
conference, January 2003

  • Wenchuang Hu, ; Bernstein, G. H.; Sarveswaran, K.
  • 2003 Third IEEE Conference on Nanotechnology, 2003. IEEE-NANO 2003.
  • DOI: 10.1109/NANO.2003.1230983

Novel polymeric anionic photoacid generators (PAGs) and corresponding polymers for 193 nm lithography
journal, January 2006

  • Wang, Mingxing; Jarnagin, Nathan D.; Lee, Cheng-Tsung
  • Journal of Materials Chemistry, Vol. 16, Issue 37
  • DOI: 10.1039/b607918k

Imaging results for resist films exposed to EUV radiation
journal, July 2002


Photo-induced Fragmentation of a Tin-oxo Cage Compound
journal, January 2018

  • Haitjema, Jarich; Wu, Lianjia; Giuliani, Alexandre
  • Journal of Photopolymer Science and Technology, Vol. 31, Issue 2
  • DOI: 10.2494/photopolymer.31.243

Ultrahigh Elastic Strain Energy Storage in Metal-Oxide-Infiltrated Patterned Hybrid Polymer Nanocomposites
journal, November 2017


Effect of Nanostructured Domains in Self-Assembled Block Copolymer Films on Sequential Infiltration Synthesis
journal, November 2017


Ultrathin photoresists for 193-nm lithography
conference, June 2003

  • Peters, Richard D.; Amblard, Gilles R.; Lee, Jen-Jiang
  • Microlithography 2003, SPIE Proceedings
  • DOI: 10.1117/12.485132

Antimony photoresists for EUV lithography: mechanistic studies
conference, March 2017

  • Murphy, Michael; Narasimhan, Amrit; Grzeskowiak, Steven
  • SPIE Advanced Lithography, SPIE Proceedings
  • DOI: 10.1117/12.2258119

A Top Surface Imaging Method Using Area Selective ALD on Chemically Amplified Polymer Photoresist Films
journal, January 2006

  • Sinha, Ashwini; Hess, Dennis W.; Henderson, Clifford L.
  • Electrochemical and Solid-State Letters, Vol. 9, Issue 11
  • DOI: 10.1149/1.2335939

Approaches to deep ultraviolet photolithography utilizing acid hardened resin photoresist systems
journal, November 1989

  • Thackeray, James W.; Orsula, George W.; Bohland, John F.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 7, Issue 6, Article No. 1620
  • DOI: 10.1116/1.584502

Chemical Semi-Amplified positive E-beam Resist (CSAR 62) for highest resolution
conference, October 2013

  • Schirmer, M.; Büttner, B.; Syrowatka, F.
  • 29th European Mask and Lithography Conference, SPIE Proceedings
  • DOI: 10.1117/12.2030576

Extreme ultraviolet resist materials for sub-7 nm patterning
journal, January 2017

  • Li, Li; Liu, Xuan; Pal, Shyam
  • Chemical Society Reviews, Vol. 46, Issue 16
  • DOI: 10.1039/C7CS00080D

Sub-10 nm electron and helium ion beam lithography using a recently developed alumina resist
journal, June 2018


Negative hybrid sol–gel resist as hard etching mask for pattern transfer with dry etching
journal, October 2012

  • Grenci, Gianluca; Della Giustina, Gioia; Pozzato, Alessandro
  • Microelectronic Engineering, Vol. 98
  • DOI: 10.1016/j.mee.2012.07.070

Optimized surface silylation of chemically amplified epoxidized photoresists for micromachining applications
journal, April 2010

  • Kontziampasis, D.; Beltsios, K.; Tegou, E.
  • Journal of Applied Polymer Science, Vol. 117, Issue 4, p. 2189-2195
  • DOI: 10.1002/app.31644

Chemical Modification Mechanisms in Hybrid Hafnium Oxo-methacrylate Nanocluster Photoresists for Extreme Ultraviolet Patterning
journal, August 2018


Surface imaging techniques
journal, March 1991


Hydrogen silsesquioxane (HSQ): a perfect negative tone resist for developing nanostructure patterns on a silicon platform
conference, February 2011

  • Singh, G.; Stenberg, P.; Vahima, P.
  • SPIE MOEMS-MEMS, SPIE Proceedings
  • DOI: 10.1117/12.874851

Metal-carbonyl organometallic polymers, PFpP, as resists for high-resolution positive and negative electron beam lithography
journal, January 2015

  • Zhang, J.; Cao, K.; Wang, X. S.
  • Chemical Communications, Vol. 51, Issue 99
  • DOI: 10.1039/C5CC07117H

Sub-10 nm High-Aspect-Ratio Patterning of ZnO Using an Electron Beam
journal, July 2005

  • Saifullah, M. S. M.; Subramanian, K. R. V.; Kang, D. -J.
  • Advanced Materials, Vol. 17, Issue 14
  • DOI: 10.1002/adma.200500484

Systematic studies of functionalized calixarenes as negative tone electron beam
journal, March 1998


Review of Recent Advances in Applications of Vapor-Phase Material Infiltration Based on Atomic Layer Deposition
journal, September 2018


Negative resist image by dry etching: a novel surface imaging resist scheme
journal, June 2003


High resolution spin-on electron beam lithography resist with exceptional dry etching resistance
journal, November 2015


Directed self-assembly of block copolymers for use in bit patterned media fabrication
journal, November 2013


Effect of chelating agents on high resolution electron beam nanolithography of spin-coatable Al/sub 2/O/sub 3/ gel films
conference, January 1999

  • Saifullah, M. S. M.; Namatsu, H.; Yamaguchi, T.
  • Digest of Papers. Microprocesses and Nanotechnology '99. 1999 International Microprocesses and Nanotechnology Conference
  • DOI: 10.1109/IMNC.1999.797526

Water soluble and metal-containing electron beam resist poly(sodium 4-styrenesulfonate)
journal, November 2014

  • Abbas, Arwa Saud; Alqarni, Sondos; Shokouhi, Babak Baradaran
  • Materials Research Express, Vol. 1, Issue 4
  • DOI: 10.1088/2053-1591/1/4/045102

Novel Hybrid Organic-Inorganic Spin-on Resist for Electron- or Photon-Based Nanolithography with Outstanding Resistance to Dry Etching
journal, August 2013

  • Zanchetta, Erika; Giustina, Gioia Della; Grenci, Gianluca
  • Advanced Materials, Vol. 25, Issue 43
  • DOI: 10.1002/adma.201301555

Application of Plasmask R  resist and the DESIRE process to lithography at 248 nm
journal, November 1990

  • Hutton, Richard S.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 8, Issue 6
  • DOI: 10.1116/1.585105

Silylated acid hardened resist process: A deep ultraviolet surface imaging technique
journal, November 1990

  • Pavelchek, Edward K.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 8, Issue 6
  • DOI: 10.1116/1.585104

Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist
journal, October 2017

  • Carbaugh, Daniel J.; Pandya, Sneha G.; Wright, Jason T.
  • Nanotechnology, Vol. 28, Issue 45
  • DOI: 10.1088/1361-6528/aa8bd5

Sub-10 nm Electron Beam Nanolithography Using Spin-Coatable TiO 2 Resists
journal, November 2003

  • Saifullah, M. S. M.; Subramanian, K. R. V.; Tapley, E.
  • Nano Letters, Vol. 3, Issue 11
  • DOI: 10.1021/nl034584p

Aberration-Corrected Electron Beam Lithography at the One Nanometer Length Scale
journal, April 2017


Spin-coatable HfO2 resist for optical and electron beam lithographies
journal, January 2010

  • Saifullah, M. S. M.; Khan, M. Z. R.; Hasko, David G.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 1
  • DOI: 10.1116/1.3273536

New Positive EB Resist with Strong Resistance to Plasma Damage
journal, January 1992

  • Yamaguchi, Kazuo
  • Journal of The Electrochemical Society, Vol. 139, Issue 3
  • DOI: 10.1149/1.2069330

Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photopatterning
journal, July 2015


Electron beam lithography process for T- and Γ-shaped gate fabrication using chemically amplified DUV resists and PMMA
journal, January 1999

  • Chen, Y.; Macintyre, D.; Thoms, S.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 17, Issue 6
  • DOI: 10.1116/1.591119

Study of nanometer resolution resist slope for the UVIII chemically amplified resist
journal, May 1999


Lithography and Other Patterning Techniques for Future Electronics
journal, February 2008


Direct e-beam writing of dense and high aspect ratio nanostructures in thick layers of PMMA for electroplating
journal, July 2010


10nm lines and spaces written in HSQ, using electron beam lithography
journal, May 2007

  • Grigorescu, A. E.; van der Krogt, M. C.; Hagen, C. W.
  • Microelectronic Engineering, Vol. 84, Issue 5-8
  • DOI: 10.1016/j.mee.2007.01.022

Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism
journal, January 2013

  • Kryask, Marie; Trikeriotis, Markos; Ouyang, Christine
  • Journal of Photopolymer Science and Technology, Vol. 26, Issue 5
  • DOI: 10.2494/photopolymer.26.659

Reactivity of metal-oxalate EUV resists as a function of the central metal
conference, March 2017

  • Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael
  • SPIE Advanced Lithography, SPIE Proceedings
  • DOI: 10.1117/12.2258151

New hybrid organic–inorganic sol–gel positive resist
journal, May 2010


New Insight into the Mechanism of Sequential Infiltration Synthesis from Infrared Spectroscopy
journal, October 2014

  • Biswas, Mahua; Libera, Joseph A.; Darling, Seth B.
  • Chemistry of Materials, Vol. 26, Issue 21
  • DOI: 10.1021/cm502427q

Improved etch resistance of ZEP 520A in reactive ion etching through heat and ultraviolet light treatment
journal, January 2009

  • Czaplewski, David A.; Tallant, David R.; Patrizi, Gary A.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 27, Issue 2
  • DOI: 10.1116/1.3086721

Metal methacrylates as sensitizers for poly methyl methacrylate electron resists
journal, November 1979

  • Webb, David J.; Hatzakis, M.
  • Journal of Vacuum Science and Technology, Vol. 16, Issue 6
  • DOI: 10.1116/1.570331

PRIME process for deep UV and E-beam lithography
journal, April 1990


Chemically Enhancing Block Copolymers for Block-Selective Synthesis of Self-Assembled Metal Oxide Nanostructures
journal, December 2012

  • Kamcev, Jovan; Germack, David S.; Nykypanchuk, Dmytro
  • ACS Nano, Vol. 7, Issue 1
  • DOI: 10.1021/nn304122b

High aspect ratio silicon etch: A review
journal, September 2010

  • Wu, Banqiu; Kumar, Ajay; Pamarthy, Sharma
  • Journal of Applied Physics, Vol. 108, Issue 5
  • DOI: 10.1063/1.3474652

Alternatives to chemical amplification for 193nm lithography
conference, March 2010

  • Baylav, Burak; Zhao, Meng; Yin, Ran
  • SPIE Advanced Lithography, SPIE Proceedings
  • DOI: 10.1117/12.846924

Fabrication of Sub-10 nm Metallic Lines of Low Line-Width Roughness by Hydrogen Reduction of Patterned Metal-Organic Materials
journal, June 2010

  • Nedelcu, Mihaela; Saifullah, Mohammad S. M.; Hasko, David G.
  • Advanced Functional Materials, Vol. 20, Issue 14
  • DOI: 10.1002/adfm.201000219

Electron Beam Nanolithography of  -Ketoester Modified Aluminium Tri-Sec-Butoxide
journal, January 2004


Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina
journal, May 2018

  • Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya
  • Japanese Journal of Applied Physics, Vol. 57, Issue 6S1
  • DOI: 10.7567/JJAP.57.06HG01

Direct writing of ZrO 2 on a sub-10 nm scale using an electron beam
journal, November 2003


PRIME process with Shipley SPR505A resist—simulations and experiments
journal, July 2002


Effects of Residual Solvent Molecules Facilitating the Infiltration Synthesis of ZnO in a Nonreactive Polymer
journal, May 2017


Solubility studies of inorganic–organic hybrid nanoparticle photoresists with different surface functional groups
journal, January 2016

  • Li, Li; Chakrabarty, Souvik; Jiang, Jing
  • Nanoscale, Vol. 8, Issue 3
  • DOI: 10.1039/C5NR07334K

Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art
journal, July 2009


Novel Hybrid Organic-Inorganic Spin-on Resist for Electron- or Photon-Based Nanolithography with Outstanding Resistance to Dry Etching
journal, February 2014

  • Zanchetta, Erika; Giustina, Gioia Della; Grenci, Gianluca
  • Advanced Materials, Vol. 26, Issue 5
  • DOI: 10.1002/adma.201303707

Works referencing / citing this record:

The chemical physics of sequential infiltration synthesis—A thermodynamic and kinetic perspective
journal, November 2019

  • Waldman, Ruben Z.; Mandia, David J.; Yanguas-Gil, Angel
  • The Journal of Chemical Physics, Vol. 151, Issue 19
  • DOI: 10.1063/1.5128108

Figures/Tables have been extracted from DOE-funded journal article accepted manuscripts.