DOE PAGES title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: A Survey of Techniques for Approximate Computing

Abstract

Approximate computing trades off computation quality with the effort expended and as rising performance demands confront with plateauing resource budgets, approximate computing has become, not merely attractive, but even imperative. Here, we present a survey of techniques for approximate computing (AC). We discuss strategies for finding approximable program portions and monitoring output quality, techniques for using AC in different processing units (e.g., CPU, GPU and FPGA), processor components, memory technologies etc., and programming frameworks for AC. Moreover, we classify these techniques based on several key characteristics to emphasize their similarities and differences. Finally, the aim of this paper is to provide insights to researchers into working of AC techniques and inspire more efforts in this area to make AC the mainstream computing approach in future systems.

Authors:
 [1]
  1. Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)
Publication Date:
Research Org.:
Oak Ridge National Laboratory (ORNL), Oak Ridge, TN (United States)
Sponsoring Org.:
USDOE Office of Science (SC), Advanced Scientific Computing Research (ASCR)
OSTI Identifier:
1286958
Grant/Contract Number:  
AC05-00OR22725
Resource Type:
Accepted Manuscript
Journal Name:
ACM Computing Surveys
Additional Journal Information:
Journal Volume: 48; Journal Issue: 4; Journal ID: ISSN 0360-0300
Publisher:
Association for Computing Machinery (ACM)
Country of Publication:
United States
Language:
English
Subject:
97 MATHEMATICS AND COMPUTING; review; classification; approximate computing technique (ACT); approximate storage; quality configurability; CPU; GPU; FPGA; neural networks; design; performance

Citation Formats

Mittal, Sparsh. A Survey of Techniques for Approximate Computing. United States: N. p., 2016. Web. doi:10.1145/2893356.
Mittal, Sparsh. A Survey of Techniques for Approximate Computing. United States. https://doi.org/10.1145/2893356
Mittal, Sparsh. Fri . "A Survey of Techniques for Approximate Computing". United States. https://doi.org/10.1145/2893356. https://www.osti.gov/servlets/purl/1286958.
@article{osti_1286958,
title = {A Survey of Techniques for Approximate Computing},
author = {Mittal, Sparsh},
abstractNote = {Approximate computing trades off computation quality with the effort expended and as rising performance demands confront with plateauing resource budgets, approximate computing has become, not merely attractive, but even imperative. Here, we present a survey of techniques for approximate computing (AC). We discuss strategies for finding approximable program portions and monitoring output quality, techniques for using AC in different processing units (e.g., CPU, GPU and FPGA), processor components, memory technologies etc., and programming frameworks for AC. Moreover, we classify these techniques based on several key characteristics to emphasize their similarities and differences. Finally, the aim of this paper is to provide insights to researchers into working of AC techniques and inspire more efforts in this area to make AC the mainstream computing approach in future systems.},
doi = {10.1145/2893356},
journal = {ACM Computing Surveys},
number = 4,
volume = 48,
place = {United States},
year = {Fri Mar 18 00:00:00 EDT 2016},
month = {Fri Mar 18 00:00:00 EDT 2016}
}

Journal Article:
Free Publicly Available Full Text
Publisher's Version of Record

Citation Metrics:
Cited by: 576 works
Citation information provided by
Web of Science

Save / Share:

Works referenced in this record:

Uncertain: a first-order type for uncertain data
conference, January 2014

  • Bornholt, James; Mytkowicz, Todd; McKinley, Kathryn S.
  • Proceedings of the 19th international conference on Architectural support for programming languages and operating systems - ASPLOS '14
  • DOI: 10.1145/2541940.2541958

Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators
conference, January 2014

  • Du, Zidong; Lingamneni, Avinash; Chen, Yunji
  • 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC)
  • DOI: 10.1109/aspdac.2014.6742890

BRAINIAC: Bringing reliable accuracy into neurally-implemented approximate computing
conference, February 2015

  • Grigorian, Beayna; Farahpour, Nazanin; Reinman, Glenn
  • 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA)
  • DOI: 10.1109/HPCA.2015.7056067

A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches
journal, June 2015

  • Mittal, Sparsh; Vetter, Jeffrey S.; Li, Dong
  • IEEE Transactions on Parallel and Distributed Systems, Vol. 26, Issue 6
  • DOI: 10.1109/TPDS.2014.2324563

Managing performance vs. accuracy trade-offs with loop perforation
conference, January 2011

  • Sidiroglou-Douskos, Stelios; Misailovic, Sasa; Hoffmann, Henry
  • Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering - SIGSOFT/FSE '11
  • DOI: 10.1145/2025113.2025133

SNNAP: Approximate computing on programmable SoCs via neural acceleration
conference, February 2015

  • Moreau, Thierry; Wyse, Mark; Nelson, Jacob
  • 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA)
  • DOI: 10.1109/HPCA.2015.7056066

Error-Resilient Motion Estimation Architecture
journal, October 2008

  • Varatkar, G. V.; Shanbhag, N. R.
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 16, Issue 10
  • DOI: 10.1109/TVLSI.2008.2000675

Approximate storage for energy efficient spintronic memories
conference, January 2015

  • Ranjan, Ashish; Venkataramani, Swagath; Fong, Xuanyao
  • Proceedings of the 52nd Annual Design Automation Conference on - DAC '15
  • DOI: 10.1145/2744769.2744799

EnerJ: approximate data types for safe and general low-power computation
journal, June 2011

  • Sampson, Adrian; Dietl, Werner; Fortuna, Emily
  • ACM SIGPLAN Notices, Vol. 46, Issue 6
  • DOI: 10.1145/1993316.1993518

Mitigating the impact of faults in unreliable memories for error-resilient applications
conference, January 2015

  • Ganapathy, Shrikanth; Karakonstantis, Georgios; Teman, Adam
  • Proceedings of the 52nd Annual Design Automation Conference on - DAC '15
  • DOI: 10.1145/2744769.2744871

Accelerating Divergent Applications on SIMD Architectures Using Neural Networks
journal, April 2015

  • Grigorian, Beayna; Reinman, Glenn
  • ACM Transactions on Architecture and Code Optimization, Vol. 12, Issue 1
  • DOI: 10.1145/2717311

Trading Accuracy for Power with an Underdesigned Multiplier Architecture
conference, January 2011

  • Kulkarni, P.; Gupta, P.; Ercegovac, M.
  • 2011 24th International Conference on VLSI Design: concurrently with the 10th International Conference on Embedded Systems Design, 2011 24th Internatioal Conference on VLSI Design
  • DOI: 10.1109/VLSID.2011.51

Architecture support for disciplined approximate programming
journal, June 2012

  • Esmaeilzadeh, Hadi; Sampson, Adrian; Ceze, Luis
  • ACM SIGPLAN Notices, Vol. 47, Issue 4
  • DOI: 10.1145/2248487.2151008

Axilog: Language Support for Approximate Hardware Design
conference, January 2015

  • Yazdanbakhsh, Amir; Mahajan, Divya; Thwaites, Bradley
  • Design, Automation and Test in Europe, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
  • DOI: 10.7873/DATE.2015.0513

AxNN: energy-efficient neuromorphic systems using approximate computing
conference, January 2014

  • Venkataramani, Swagath; Ranjan, Ashish; Roy, Kaushik
  • Proceedings of the 2014 international symposium on Low power electronics and design - ISLPED '14
  • DOI: 10.1145/2627369.2627613

A variability-aware OpenMP environment for efficient execution of accuracy-configurable computation on shared-FPU processor clusters
conference, September 2013

  • Rahimi, Abbas; Marongiu, Andrea; Gupta, Rajesh K.
  • 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
  • DOI: 10.1109/CODES-ISSS.2013.6659022

Load Value Approximation
conference, December 2014

  • Miguel, Joshua San; Badr, Mario; Jerger, Natalie Enright
  • 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
  • DOI: 10.1109/MICRO.2014.22

Approximate computing and the quest for computing efficiency
conference, January 2015

  • Venkataramani, Swagath; Chakradhar, Srimat T.; Roy, Kaushik
  • Proceedings of the 52nd Annual Design Automation Conference on - DAC '15
  • DOI: 10.1145/2744769.2751163

Extracting Useful Computation from Error-Prone Processors for Streaming Applications
conference, January 2013

  • Yetim, Yavuz; Martonosi, Margaret; Malik, Sharad
  • Design Automation and Test in Europe, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013
  • DOI: 10.7873/date.2013.055

Language and compiler support for auto-tuning variable-accuracy algorithms
conference, April 2011

  • Ansel, Jason; Wong, Yee Lok; Chan, Cy
  • 2011 9th Annual IEEE/ACM International Symposium on Code Generation and Optimization (CGO), International Symposium on Code Generation and Optimization (CGO 2011)
  • DOI: 10.1109/CGO.2011.5764677

Precision-energy-throughput scaling of generic matrix multiplication and discrete convolution kernels via linear projections
conference, October 2013

  • Anam, Mohammad Ashraful; Whatmough, Paul N.; Andreopoulos, Yiannis
  • 2013 IEEE 11th Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia), The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia
  • DOI: 10.1109/ESTIMedia.2013.6704499

Best-effort semantic document search on GPUs
conference, January 2010

  • Byna, Surendra; Meng, Jiayuan; Raghunathan, Anand
  • Proceedings of the 3rd Workshop on General-Purpose Computation on Graphics Processing Units - GPGPU '10
  • DOI: 10.1145/1735688.1735705

Monitoring and Debugging the Quality of Results in Approximate Programs
conference, January 2015

  • Ringenburg, Michael; Sampson, Adrian; Ackerman, Isaac
  • Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS '15
  • DOI: 10.1145/2694344.2694365

Dynamically adaptive and reliable approximate computing using light-weight error analysis
conference, July 2014

  • Grigorian, Beayna; Reinman, Glenn
  • 2014 NASA/ESA Conference on Adaptive Hardware and Systems (AHS)
  • DOI: 10.1109/AHS.2014.6880184

IMPACT: IMPrecise adders for low-power approximate computing
conference, August 2011

  • Gupta, Vaibhav; Mohapatra, Debabrata; Park, Sang Phill
  • 2011 International Symposium on Low Power Electronics and Design (ISLPED), IEEE/ACM International Symposium on Low Power Electronics and Design
  • DOI: 10.1109/ISLPED.2011.5993675

Neural acceleration for general-purpose approximate programs
journal, January 2015

  • Esmaeilzadeh, Hadi; Sampson, Adrian; Ceze, Luis
  • Communications of the ACM, Vol. 58, Issue 1
  • DOI: 10.1145/2589750

A survey of architectural techniques for DRAM power management
journal, January 2012


ASAC: automatic sensitivity analysis for approximate computing
conference, January 2014

  • Roy, Pooja; Ray, Rajarshi; Wang, Chundong
  • Proceedings of the 2014 SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems - LCTES '14
  • DOI: 10.1145/2597809.2597812

Fuzzy Memoization for Floating-Point Multimedia Applications
journal, July 2005

  • Alvarez, C.; Corbal, J.; Valero, M.
  • IEEE Transactions on Computers, Vol. 54, Issue 7
  • DOI: 10.1109/TC.2005.119

The Art of Deception: Adaptive Precision Reduction for Area Efficient Physics Acceleration
conference, December 2007

  • Yeh, Thomas; Faloutsos, Petros; Ercegovac, Milos
  • 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
  • DOI: 10.1109/micro.2007.4408271

Paraprox: pattern-based approximation for data parallel applications
conference, January 2014

  • Samadi, Mehrzad; Jamshidi, Davoud Anoushe; Lee, Janghaeng
  • Proceedings of the 19th international conference on Architectural support for programming languages and operating systems - ASPLOS '14
  • DOI: 10.1145/2541940.2541948

Quality Configurable Reduce-and-Rank for Energy Efficient Approximate Computing
conference, January 2015

  • Raha, Arnab; Venkataramani, Swagath; Raghunathan, Vijay
  • Design, Automation and Test in Europe, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
  • DOI: 10.7873/DATE.2015.0569

SALSA: systematic logic synthesis of approximate circuits
conference, January 2012

  • Venkataramani, Swagath; Sabne, Amit; Kozhikkottu, Vivek
  • Proceedings of the 49th Annual Design Automation Conference on - DAC '12
  • DOI: 10.1145/2228360.2228504

Low power GPGPU computation with imprecise hardware
conference, June 2014

  • Zhang, Hang; Putic, Mateja; Lach, John
  • 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC)
  • DOI: 10.1109/dac.2014.6881426

Accuracy-configurable adder for approximate arithmetic designs
conference, January 2012

  • Kahng, Andrew B.; Kang, Seokhyeong
  • Proceedings of the 49th Annual Design Automation Conference on - DAC '12
  • DOI: 10.1145/2228360.2228509

Scalable Effort Hardware Design
journal, September 2014

  • Chippa, Vinay Kumar; Mohapatra, Debabrata; Roy, Kaushik
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 22, Issue 9
  • DOI: 10.1109/TVLSI.2013.2276759

Scalable-effort classifiers for energy-efficient machine learning
conference, January 2015

  • Venkataramani, Swagath; Raghunathan, Anand; Liu, Jie
  • Proceedings of the 52nd Annual Design Automation Conference on - DAC '15
  • DOI: 10.1145/2744769.2744904

RRAM-Based Analog Approximate Computing
journal, December 2015

  • Li, Boxun; Gu, Peng; Shan, Yi
  • IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 34, Issue 12
  • DOI: 10.1109/TCAD.2015.2445741

Opportunities for Nonvolatile Memory Systems in Extreme-Scale High-Performance Computing
journal, March 2015

  • Vetter, Jeffrey S.; Mittal, Sparsh
  • Computing in Science & Engineering, Vol. 17, Issue 2
  • DOI: 10.1109/MCSE.2015.4

A programming model and runtime system for significance-aware energy-efficient computing
conference, January 2015

  • Vassiliadis, Vassilis; Parasyris, Konstantinos; Chalios, Charalambos
  • PPoPP '15: 20th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, Proceedings of the 20th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming
  • DOI: 10.1145/2688500.2688546

SAGE: self-tuning approximation for graphics engines
conference, January 2013

  • Samadi, Mehrzad; Lee, Janghaeng; Jamshidi, D. Anoushe
  • Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture - MICRO-46
  • DOI: 10.1145/2540708.2540711

Approximate storage in solid-state memories
conference, January 2013

  • Sampson, Adrian; Nelson, Jacob; Strauss, Karin
  • Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture - MICRO-46
  • DOI: 10.1145/2540708.2540712

Quality programmable vector processors for approximate computing
conference, January 2013

  • Venkataramani, Swagath; Chippa, Vinay K.; Chakradhar, Srimat T.
  • Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture - MICRO-46
  • DOI: 10.1145/2540708.2540710

Uncertain< T >: a first-order type for uncertain data
journal, April 2014

  • Bornholt, James; Mytkowicz, Todd; McKinley, Kathryn S.
  • ACM SIGARCH Computer Architecture News, Vol. 42, Issue 1
  • DOI: 10.1145/2654822.2541958

Energy-aware hybrid precision selection framework for mobile GPUs
journal, August 2013


ApproxHadoop: Bringing Approximations to MapReduce Frameworks
journal, May 2015

  • Goiri, Inigo; Bianchini, Ricardo; Nagarakatte, Santosh
  • ACM SIGARCH Computer Architecture News, Vol. 43, Issue 1
  • DOI: 10.1145/2786763.2694351

Low Power GPGPU Computation with Imprecise Hardware
dissertation, December 2014


General-purpose code acceleration with limited-precision analog computation
conference, June 2014

  • Amant, Renee St.; Yazdanbakhsh, Amir; Park, Jongse
  • 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA)
  • DOI: 10.1109/ISCA.2014.6853213

Approximate Associative Memristive Memory for Energy-Efficient GPUs
conference, January 2015

  • Rahimi, Abbas; Ghofrani, Amirali; Cheng, Kwang-Ting
  • Design, Automation and Test in Europe, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
  • DOI: 10.7873/DATE.2015.0579

Branch and Data Herding: Reducing Control and Memory Divergence for Error-Tolerant GPU Applications
journal, February 2013


EMEURO: A framework for generating multi-purpose accelerators via deep learning
conference, February 2015

  • McAfee, Lawrence; Olukotun, Kunle
  • 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO)
  • DOI: 10.1109/CGO.2015.7054193

A Survey of Architectural Techniques for Near-Threshold Computing
journal, July 2016

  • Mittal, Sparsh
  • ACM Journal on Emerging Technologies in Computing Systems, Vol. 12, Issue 4
  • DOI: 10.1145/2821510

Low Power GPGPU Computation with Imprecise Hardware
conference, January 2014

  • Zhang, Hang; Putic, Mateja; Lach, John
  • Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference - DAC '14
  • DOI: 10.1145/2593069.2593156

Chisel: reliability- and accuracy-aware optimization of approximate computational kernels
journal, December 2014

  • Misailovic, Sasa; Carbin, Michael; Achour, Sara
  • ACM SIGPLAN Notices, Vol. 49, Issue 10
  • DOI: 10.1145/2714064.2660231

ApproxANN: An Approximate Computing Framework for Artificial Neural Network
conference, January 2015

  • Zhang, Qian; Wang, Ting; Tian, Ye
  • Design, Automation and Test in Europe, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
  • DOI: 10.7873/DATE.2015.0618

Best-effort computing: re-thinking parallel software and hardware
conference, January 2010

  • Chakradhar, Srimat T.; Raghunathan, Anand
  • Proceedings of the 47th Design Automation Conference on - DAC '10
  • DOI: 10.1145/1837274.1837492

ApproxMA: Approximate Memory Access for Dynamic Precision Scaling
conference, January 2015

  • Tian, Ye; Zhang, Qian; Wang, Ting
  • Proceedings of the 25th edition on Great Lakes Symposium on VLSI - GLSVLSI '15
  • DOI: 10.1145/2742060.2743759

General-purpose code acceleration with limited-precision analog computation
journal, October 2014

  • St. Amant, Renée; Yazdanbakhsh, Amir; Park, Jongse
  • ACM SIGARCH Computer Architecture News, Vol. 42, Issue 3
  • DOI: 10.1145/2678373.2665746

Analysis and characterization of inherent application resilience for approximate computing
conference, January 2013

  • Chippa, Vinay K.; Chakradhar, Srimat T.; Roy, Kaushik
  • Proceedings of the 50th Annual Design Automation Conference on - DAC '13
  • DOI: 10.1145/2463209.2488873

SoftPCM: Enhancing Energy Efficiency and Lifetime of Phase Change Memory in Video Applications via Approximate Write
conference, November 2012

  • Fang, Yuntan; Li, Huawei; Li, Xiaowei
  • 2012 21st Asian Test Symposium (ATS), 2012 IEEE 21st Asian Test Symposium
  • DOI: 10.1109/ATS.2012.57

Architecture support for disciplined approximate programming
journal, April 2012

  • Esmaeilzadeh, Hadi; Sampson, Adrian; Ceze, Luis
  • ACM SIGARCH Computer Architecture News, Vol. 40, Issue 1
  • DOI: 10.1145/2189750.2151008

Monitoring and Debugging the Quality of Results in Approximate Programs
journal, May 2015

  • Ringenburg, Michael; Sampson, Adrian; Ackerman, Isaac
  • ACM SIGARCH Computer Architecture News, Vol. 43, Issue 1
  • DOI: 10.1145/2786763.2694365

Neural Acceleration for General-Purpose Approximate Programs
conference, December 2012

  • Esmaeilzadeh, Hadi; Sampson, Adrian; Ceze, Luis
  • 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
  • DOI: 10.1109/MICRO.2012.48

Paraprox: pattern-based approximation for data parallel applications
journal, April 2014

  • Samadi, Mehrzad; Jamshidi, Davoud Anoushe; Lee, Janghaeng
  • ACM SIGARCH Computer Architecture News, Vol. 42, Issue 1
  • DOI: 10.1145/2654822.2541948

Verifying quantitative reliability for programs that execute on unreliable hardware
journal, November 2013

  • Carbin, Michael; Misailovic, Sasa; Rinard, Martin C.
  • ACM SIGPLAN Notices, Vol. 48, Issue 10
  • DOI: 10.1145/2544173.2509546

A programming model and runtime system for significance-aware energy-efficient computing
journal, December 2015

  • Vassiliadis, Vassilis; Parasyris, Konstantinos; Chalios, Charalambos
  • ACM SIGPLAN Notices, Vol. 50, Issue 8
  • DOI: 10.1145/2858788.2688546

Energy-efficient signal processing via algorithmic noise-tolerance
conference, January 1999

  • Hegde, Rajamohana; Shanbhag, Naresh R.
  • Proceedings of the 1999 international symposium on Low power electronics and design - ISLPED '99
  • DOI: 10.1145/313817.313834

Rumba: an online quality management system for approximate computing
journal, January 2016

  • Khudia, Daya S.; Zamirai, Babak; Samadi, Mehrzad
  • ACM SIGARCH Computer Architecture News, Vol. 43, Issue 3S
  • DOI: 10.1145/2872887.2750371

Spatial Memoization: Concurrent Instruction Reuse to Correct Timing Errors in SIMD Architectures
journal, December 2013

  • Rahimi, Abbas; Benini, Luca; Gupta, Rajesh K.
  • IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 60, Issue 12
  • DOI: 10.1109/TCSII.2013.2281934

Green: a framework for supporting energy-conscious programming using controlled approximation
journal, June 2010


A survey of architectural techniques for improving cache power efficiency
journal, March 2014


The Art of Deception: Adaptive Precision Reduction for Area Efficient Physics Acceleration
conference, December 2007

  • Yeh, Thomas; Faloutsos, Petros; Ercegovac, Milos
  • 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
  • DOI: 10.1109/MICRO.2007.9

Neural network-based accelerators for transcendental function approximation
conference, January 2014

  • Eldridge, Schuyler; Raudies, Florian; Zou, David
  • Proceedings of the 24th edition of the great lakes symposium on VLSI - GLSVLSI '14
  • DOI: 10.1145/2591513.2591534

Exploiting Partially-Forgetful Memories for Approximate Computing
journal, March 2015

  • Shoushtari, Majid; BanaiyanMofrad, Abbas; Dutt, Nikil
  • IEEE Embedded Systems Letters, Vol. 7, Issue 1
  • DOI: 10.1109/LES.2015.2393860

eDRAM-based tiered-reliability memory with applications to low-power frame buffers
conference, January 2014

  • Cho, Kyungsang; Lee, Yongjun; Oh, Young H.
  • Proceedings of the 2014 international symposium on Low power electronics and design - ISLPED '14
  • DOI: 10.1145/2627369.2627626

Exploring Data-Level Error Tolerance in High-Performance Solid-State Drives
journal, March 2015


Rumba: an online quality management system for approximate computing
conference, January 2015

  • Khudia, Daya S.; Zamirai, Babak; Samadi, Mehrzad
  • Proceedings of the 42nd Annual International Symposium on Computer Architecture - ISCA '15
  • DOI: 10.1145/2749469.2750371

Opportunities for Energy Efficient Computing: A Study of Inexact General Purpose Processors for High-Performance and Big-Data Applications
conference, January 2015

  • Düben, Peter; Schlachter, Jeremy; ., Parishkrati
  • Design, Automation and Test in Europe, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
  • DOI: 10.7873/DATE.2015.1116

Flikker: saving DRAM refresh-power through critical data partitioning
journal, June 2012

  • Liu, Song; Pattabiraman, Karthik; Moscibroda, Thomas
  • ACM SIGPLAN Notices, Vol. 47, Issue 4
  • DOI: 10.1145/2248487.1950391

More Flops or More Precision? Accuracy Parameterizable Linear Equation Solvers for Model Predictive Control
conference, April 2009

  • Roldao-Lopes, Antonio; Shahzad, Amir; Constantinides, George A.
  • 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines
  • DOI: 10.1109/FCCM.2009.19

A Survey of Techniques for Modeling and Improving Reliability of Computing Systems
journal, April 2016

  • Mittal, Sparsh; Vetter, Jeffrey S.
  • IEEE Transactions on Parallel and Distributed Systems, Vol. 27, Issue 4
  • DOI: 10.1109/TPDS.2015.2426179

ASAC: automatic sensitivity analysis for approximate computing
journal, May 2014


EnerJ: approximate data types for safe and general low-power computation
conference, January 2011

  • Sampson, Adrian; Dietl, Werner; Fortuna, Emily
  • Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation - PLDI '11
  • DOI: 10.1145/1993498.1993518

Composable Lightweight Processors
conference, December 2007

  • Kim, Changkyu; Sethumadhavan, Simha; Govindan, M. S.
  • 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
  • DOI: 10.1109/MICRO.2007.41

Energy-efficient signal processing via algorithmic noise-tolerance
conference, January 1999

  • Hegde, R.; Shanbhag, N. R.
  • Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477)
  • DOI: 10.1109/lpe.1999.799405

Neural Acceleration for General-Purpose Approximate Programs
journal, May 2013

  • Esmaeilzadeh, Hadi; Sampson, Adrian; Ceze, Luis
  • IEEE Micro, Vol. 33, Issue 3
  • DOI: 10.1109/mm.2013.28

Architecture support for disciplined approximate programming
conference, January 2012

  • Esmaeilzadeh, Hadi; Sampson, Adrian; Ceze, Luis
  • Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS '12
  • DOI: 10.1145/2150976.2151008

Monitoring and Debugging the Quality of Results in Approximate Programs
journal, May 2015

  • Ringenburg, Michael; Sampson, Adrian; Ackerman, Isaac
  • ACM SIGPLAN Notices, Vol. 50, Issue 4
  • DOI: 10.1145/2775054.2694365

Flikker: saving DRAM refresh-power through critical data partitioning
journal, March 2011

  • Liu, Song; Pattabiraman, Karthik; Moscibroda, Thomas
  • ACM SIGARCH Computer Architecture News, Vol. 39, Issue 1
  • DOI: 10.1145/1961295.1950391

Branch and data herding: reducing control and memory divergence for error-tolerant GPU applications
conference, January 2012

  • Sartori, John; Kumar, Rakesh
  • Proceedings of the 21st international conference on Parallel architectures and compilation techniques - PACT '12
  • DOI: 10.1145/2370816.2370879

Approximate Storage in Solid-State Memories
journal, September 2014

  • Sampson, Adrian; Nelson, Jacob; Strauss, Karin
  • ACM Transactions on Computer Systems, Vol. 32, Issue 3
  • DOI: 10.1145/2644808

Chisel: reliability- and accuracy-aware optimization of approximate computational kernels
conference, January 2014

  • Misailovic, Sasa; Carbin, Michael; Achour, Sara
  • Proceedings of the 2014 ACM International Conference on Object Oriented Programming Systems Languages & Applications - OOPSLA '14
  • DOI: 10.1145/2660193.2660231

ApproxHadoop: Bringing Approximations to MapReduce Frameworks
journal, May 2015

  • Goiri, Inigo; Bianchini, Ricardo; Nagarakatte, Santosh
  • ACM SIGPLAN Notices, Vol. 50, Issue 4
  • DOI: 10.1145/2775054.2694351

Verifying quantitative reliability for programs that execute on unreliable hardware
journal, July 2016

  • Carbin, Michael; Misailovic, Sasa; Rinard, Martin C.
  • Communications of the ACM, Vol. 59, Issue 8
  • DOI: 10.1145/2958738

Works referencing / citing this record:

Forecasting accident frequency of an urban road network: A comparison of four artificial neural network techniques
journal, August 2018

  • Behbahani, Hamid; Amiri, Amir Mohamadian; Imaninasab, Reza
  • Journal of Forecasting, Vol. 37, Issue 7
  • DOI: 10.1002/for.2542

The Effectiveness of Low-Precision Floating Arithmetic on Numerical Codes: A Case Study on Power Consumption
conference, January 2020

  • Sakamoto, Ryuichi; Kondo, Masaaki; Fujita, Kohei
  • HPCAsia2020: International Conference on High Performance Computing in Asia-Pacific Region, Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region
  • DOI: 10.1145/3368474.3368492

Brian 2: an intuitive and efficient neural simulator
journal, April 2019

  • Stimberg, Marcel; Brette, Romain; Goodman, Dan F. M.
  • eLife
  • DOI: 10.1101/595710

An Ultra-Area-Efficient 1024-Point In-Memory FFT Processor
journal, July 2019

  • Yantır, Hasan Erdem; Guo, Wenzhe; Eltawil, Ahmed M.
  • Micromachines, Vol. 10, Issue 8
  • DOI: 10.3390/mi10080509

A survey of techniques for improving efficiency of mobile web browsing
journal, July 2018

  • Mittal, Sparsh; Mattela, Venkat
  • Concurrency and Computation: Practice and Experience, Vol. 31, Issue 15
  • DOI: 10.1002/cpe.5126

Using Approximate Computing and Selective Hardening for the Reduction of Overheads in the Design of Radiation-Induced Fault-Tolerant Systems
journal, December 2019


A survey of techniques for architecting SLC/MLC/TLC hybrid Flash memory-based SSDs: A survey of techniques for architecting hybrid flash memory based SSDs
journal, January 2018

  • Alsalibi, Ahmed Izzat; Mittal, Sparsh; Al-Betar, Mohammed Azmi
  • Concurrency and Computation: Practice and Experience, Vol. 30, Issue 13
  • DOI: 10.1002/cpe.4420

Error metrics determination in functionally approximated circuits using SAT solvers
journal, January 2020


A Survey of ReRAM-Based Architectures for Processing-In-Memory and Neural Networks
journal, April 2018

  • Mittal, Sparsh
  • Machine Learning and Knowledge Extraction, Vol. 1, Issue 1
  • DOI: 10.3390/make1010005

A Variation-Aware Design Methodology for Distributed Arithmetic
journal, January 2019


A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories
journal, February 2017


Low power and area efficient error tolerant adder for image processing application
journal, January 2020

  • Priyadharshni, M.; Kumaravel, Sundaram
  • International Journal of Circuit Theory and Applications
  • DOI: 10.1002/cta.2744

Test and Reliability in Approximate Computing
journal, May 2018

  • Anghel, Lorena; Benabdenbi, Mounir; Bosio, Alberto
  • Journal of Electronic Testing, Vol. 34, Issue 4
  • DOI: 10.1007/s10836-018-5734-9

On Quantitative Algebraic Higher-Order Theories
preprint, January 2022


Brian 2: an intuitive and efficient neural simulator
journal, April 2019

  • Stimberg, Marcel; Brette, Romain; Goodman, Dan F. M.
  • eLife
  • DOI: 10.1101/595710

Evaluation of approximate operators case study
conference, July 2018

  • Ndour, Geneviève; Jost, Tiago Trevisan; Molnos, Anca
  • Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation
  • DOI: 10.1145/3229631.3229646

Evaluation of variable bit-width units in a RISC-V processor for approximate computing
conference, April 2019

  • Ndour, Geneviève; Jost, Tiago Trevisan; Molnos, Anca
  • Proceedings of the 16th ACM International Conference on Computing Frontiers
  • DOI: 10.1145/3310273.3323159

Towards Approximate Mobile Computing
journal, May 2019


Optimizing Bit-Serial Matrix Multiplication for Reconfigurable Computing
journal, August 2019

  • Umuroglu, Yaman; Conficconi, Davide; Rasnayake, Lahiru
  • ACM Transactions on Reconfigurable Technology and Systems, Vol. 12, Issue 3
  • DOI: 10.1145/3337929

A GPU Register File using Static Data Compression
conference, August 2020

  • Angerd, Alexandra; Sintorn, Erik; Stenstrom, Per
  • 49th International Conference on Parallel Processing - ICPP
  • DOI: 10.1145/3404397.3404431

Understanding Cache Compression
journal, June 2021

  • Carvalho, Daniel Rodrigues; Seznec, André
  • ACM Transactions on Architecture and Code Optimization, Vol. 18, Issue 3
  • DOI: 10.1145/3457207

On Quantitative Algebraic Higher-Order Theories
text, January 2022

  • Dal Lago, Ugo; Honsell, Furio; Lenisa, Marina
  • Schloss Dagstuhl - Leibniz-Zentrum für Informatik
  • DOI: 10.4230/lipics.fscd.2022.4

Differential Logical Relations, Part I: The Simply-Typed Case
text, January 2019

  • Dal Lago, Ugo; Gavazzo, Francesco; Yoshimizu, Akira
  • Schloss Dagstuhl - Leibniz-Zentrum fuer Informatik GmbH, Wadern/Saarbruecken, Germany
  • DOI: 10.4230/lipics.icalp.2019.111

Mez: A Messaging System for Latency-Sensitive Multi-Camera Machine Vision at the IoT Edge
preprint, January 2020