DOE Patents title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Method and apparatus for thermal processing of semiconductor substrates

Abstract

An improved apparatus and method for thermal processing of semiconductor wafers. The apparatus and method provide the temperature stability and uniformity of a conventional batch furnace as well as the processing speed and reduced time-at-temperature of a lamp-heated rapid thermal processor (RTP). Individual wafers are rapidly inserted into and withdrawn from a furnace cavity held at a nearly constant and isothermal temperature. The speeds of insertion and withdrawal are sufficiently large to limit thermal stresses and thereby reduce or prevent plastic deformation of the wafer as it enters and leaves the furnace. By processing the semiconductor wafer in a substantially isothermal cavity, the wafer temperature and spatial uniformity of the wafer temperature can be ensured by measuring and controlling only temperatures of the cavity walls. Further, peak power requirements are very small compared to lamp-heated RTPs because the cavity temperature is not cycled and the thermal mass of the cavity is relatively large. Increased speeds of insertion and/or removal may also be used with non-isothermal furnaces.

Inventors:
 [1];  [2];  [3];  [4]
  1. Danville, CA
  2. Cardiss, CA
  3. Los Gatos, CA
  4. Alameda, CA
Issue Date:
Research Org.:
Sandia National Laboratories (SNL), Albuquerque, NM, and Livermore, CA (United States)
OSTI Identifier:
874277
Patent Number(s):
6355909
Assignee:
Sandia Corporation (Livermore, CA); Mattson Technology Inc. (Fremont, CA)
Patent Classifications (CPCs):
C - CHEMISTRY C23 - COATING METALLIC MATERIAL C23C - COATING METALLIC MATERIAL
C - CHEMISTRY C30 - CRYSTAL GROWTH C30B - SINGLE-CRYSTAL-GROWTH
DOE Contract Number:  
AC04-94AL85000
Resource Type:
Patent
Country of Publication:
United States
Language:
English
Subject:
method; apparatus; thermal; processing; semiconductor; substrates; improved; wafers; provide; temperature; stability; uniformity; conventional; batch; furnace; speed; reduced; time-at-temperature; lamp-heated; rapid; processor; rtp; individual; rapidly; inserted; withdrawn; cavity; held; nearly; constant; isothermal; speeds; insertion; withdrawal; sufficiently; limit; stresses; reduce; prevent; plastic; deformation; wafer; enters; leaves; substantially; spatial; ensured; measuring; controlling; temperatures; walls; peak; power; requirements; compared; rtps; cycled; mass; increased; andor; removal; non-isothermal; furnaces; thermal stresses; temperature stability; /219/118/373/

Citation Formats

Griffiths, Stewart K, Nilson, Robert H, Mattson, Brad S, and Savas, Stephen E. Method and apparatus for thermal processing of semiconductor substrates. United States: N. p., 2002. Web.
Griffiths, Stewart K, Nilson, Robert H, Mattson, Brad S, & Savas, Stephen E. Method and apparatus for thermal processing of semiconductor substrates. United States.
Griffiths, Stewart K, Nilson, Robert H, Mattson, Brad S, and Savas, Stephen E. Tue . "Method and apparatus for thermal processing of semiconductor substrates". United States. https://www.osti.gov/servlets/purl/874277.
@article{osti_874277,
title = {Method and apparatus for thermal processing of semiconductor substrates},
author = {Griffiths, Stewart K and Nilson, Robert H and Mattson, Brad S and Savas, Stephen E},
abstractNote = {An improved apparatus and method for thermal processing of semiconductor wafers. The apparatus and method provide the temperature stability and uniformity of a conventional batch furnace as well as the processing speed and reduced time-at-temperature of a lamp-heated rapid thermal processor (RTP). Individual wafers are rapidly inserted into and withdrawn from a furnace cavity held at a nearly constant and isothermal temperature. The speeds of insertion and withdrawal are sufficiently large to limit thermal stresses and thereby reduce or prevent plastic deformation of the wafer as it enters and leaves the furnace. By processing the semiconductor wafer in a substantially isothermal cavity, the wafer temperature and spatial uniformity of the wafer temperature can be ensured by measuring and controlling only temperatures of the cavity walls. Further, peak power requirements are very small compared to lamp-heated RTPs because the cavity temperature is not cycled and the thermal mass of the cavity is relatively large. Increased speeds of insertion and/or removal may also be used with non-isothermal furnaces.},
doi = {},
journal = {},
number = ,
volume = ,
place = {United States},
year = {Tue Jan 01 00:00:00 EST 2002},
month = {Tue Jan 01 00:00:00 EST 2002}
}

Works referenced in this record:

Dislocation dynamics in the plastic deformation of silicon crystals. II. Theoretical analysis of experimental results
journal, January 1979


Scaling wafer stresses and thermal processes to large wafers
journal, March 1998


Automatic cassette to cassette radiant impulse processor
journal, January 1985


Defects introduced in silicon wafers during rapid isothermal annealing: Thermoelastic and thermoplastic effects
journal, November 1984


Rapid isothermal annealing of ion implantation damage using a thermal radiation source
journal, October 1981


Warpage of Silicon Wafers
journal, April 1980