Masked fault detection for reliable low voltage cache operation
Abstract
Systems, apparatuses, and methods for implementing masked fault detection for reliable low voltage cache operation are disclosed. A processor includes a cache that can operate at a relatively low voltage level to conserve power. However, at low voltage levels, the cache is more likely to suffer from bit errors. To mitigate the bit errors occurring in cache lines at low voltage levels, the cache employs a strategy to uncover masked faults during runtime accesses to data by actual software applications. For example, on the first read of a given cache line, the data of the given cache line is inverted and written back to the same data array entry. Also, the error correction bits are regenerated for the inverted data. On a second read of the given cache line, if the fault population of the given cache line changes, then the given cache line's error protection level is updated.
- Inventors:
- Issue Date:
- Research Org.:
- Advanced Micro Devices, Inc., Santa Clara, CA (United States); Lawrence Livermore National Laboratory (LLNL), Livermore, CA (United States)
- Sponsoring Org.:
- USDOE
- OSTI Identifier:
- 1986850
- Patent Number(s):
- 11509333
- Application Number:
- 17/125,145
- Assignee:
- Advanced Micro Devices, Inc. (Santa Clara, CA)
- DOE Contract Number:
- AC52-07NA27344; B620717
- Resource Type:
- Patent
- Resource Relation:
- Patent File Date: 12/17/2020
- Country of Publication:
- United States
- Language:
- English
Citation Formats
Ganapathy, Shrikanth, and Kalamatianos, John. Masked fault detection for reliable low voltage cache operation. United States: N. p., 2022.
Web.
Ganapathy, Shrikanth, & Kalamatianos, John. Masked fault detection for reliable low voltage cache operation. United States.
Ganapathy, Shrikanth, and Kalamatianos, John. Tue .
"Masked fault detection for reliable low voltage cache operation". United States. https://www.osti.gov/servlets/purl/1986850.
@article{osti_1986850,
title = {Masked fault detection for reliable low voltage cache operation},
author = {Ganapathy, Shrikanth and Kalamatianos, John},
abstractNote = {Systems, apparatuses, and methods for implementing masked fault detection for reliable low voltage cache operation are disclosed. A processor includes a cache that can operate at a relatively low voltage level to conserve power. However, at low voltage levels, the cache is more likely to suffer from bit errors. To mitigate the bit errors occurring in cache lines at low voltage levels, the cache employs a strategy to uncover masked faults during runtime accesses to data by actual software applications. For example, on the first read of a given cache line, the data of the given cache line is inverted and written back to the same data array entry. Also, the error correction bits are regenerated for the inverted data. On a second read of the given cache line, if the fault population of the given cache line changes, then the given cache line's error protection level is updated.},
doi = {},
journal = {},
number = ,
volume = ,
place = {United States},
year = {2022},
month = {11}
}
Works referenced in this record:
Yield-driven near-threshold SRAM design
conference, November 2007
- Chen, Gregory K.; Blaauw, David; Mudge, Trevor
- 2007 IEEE/ACM International Conference on Computer-Aided Design
Method for Testing a Memory and Memory System
patent-application, December 2014
- Oberlaender, Klaus
- US Patent Application 14/301548; 20140372814
Memory System
patent-application, July 2018
- Lee, Jun-Seo
- US Patent Application 15/703175; 20180210669
Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits
journal, February 2010
- Dreslinski, Ronald G.; Wieckowski, Michael; Blaauw, David
- Proceedings of the IEEE, Vol. 98, Issue 2
A 400mV active VMIN, 200mV retention VMIN, 2.8 GHz 64Kb SRAM with a 0.09 um26T bitcell in a 16nm FinFET CMOS process
conference, June 2016
- Bhavnagarwala, Azeez; Iqbal, Imran
- 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits)
Bit Error Protection in Cache Memories
patent-application, March 2020
- Kalamatianos, John; Ganapathy, Shrikanth
- US Patent Application 16/123489; 20200081771
Trading off Cache Capacity for Reliability to Enable Low Voltage Operation
conference, June 2008
- Wilkerson, Chris; Gao, Hongliang; Alameldeen, Alaa R.
- 2008 International Symposium on Computer Architecture
On Characterizing Near-Threshold SRAM Failures in FinFET Technology
conference, June 2017
- Ganapathy, Shrikanth; Kalamatianos, John; Kasprak, Keith
- Proceedings of the 54th Annual Design Automation Conference 2017
Energy-efficient cache design using variable-strength error-correcting codes
conference, January 2011
- Alameldeen, Alaa R.; Wagner, Ilya; Chishti, Zeshan
- Proceeding of the 38th annual international symposium on Computer architecture - ISCA '11
Method and Apparatus for Using Compression to Improve Performance of Low Voltage Caches
patent-application, June 2020
- Kalamatianos, John; Ganapathy, Shrikanth; Das, Shomit
- US Patent Application 16/230618; 20200201777
A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies
journal, June 2015
- Ansari, Mohammad; Afzali-Kusha, Hassan; Ebrahimi, Behzad
- Integration, Vol. 50
Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors
conference, February 2011
- Ghasemi, Hamid Reza; Draper, Stark C.; Kim, Nam Sung
- 2011 IEEE 17th International Symposium on High Performance Computer Architecture
Archipelago: A polymorphic cache design for enabling robust near-threshold operation
conference, February 2011
- Ansari, Amin; Feng, Shuguang; Gupta, Shantanu
- 2011 IEEE 17th International Symposium on High Performance Computer Architecture
Memory Controller and Method of Controlling Memory Controller
patent-application, May 2017
- Shinbashi, Tatsuo; Sakai, Lui; Ikegaya, Ryoji
- US Patent Application 15/323574; 20170147433
Low Vccmin fault-tolerant cache with highly predictable performance
conference, January 2009
- Abella, Jaume; Carretero, Javier; Chaparro, Pedro
- Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture - Micro-42
Improving cache lifetime reliability at ultra-low voltages
conference, December 2009
- Chishti, Zeshan; Alameldeen, Alaa R.; Wilkerson, Chris
- Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Memory Controller and Method of Data Bus Inversion Using an Error Detection Correction Code
patent-application, June 2016
- Ware, Frederick A.; Linstadt, John Eric
- US Patent Application 14/941564; 20160173128
Memory mapped ECC
conference, June 2009
- Yoon, Doe Hyun; Erez, Mattan
- Proceedings of the 36th annual international symposium on Computer architecture
Soft error and energy consumption interactions
conference, August 2004
- Li, Lin; Degalahal, Vijay; Vijaykrishnan, N.
- Proceedings of the 2004 international symposium on Low power electronics and design
Killi: Runtime Fault Classification to Deploy Low Voltage Caches without MBIST
conference, February 2019
- Ganapathy, Shrikanth; Kalamatianos, John; Beckmann, Bradford M.
- 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA)
Base-delta-immediate compression: practical data compression for on-chip caches
conference, January 2012
- Pekhimenko, Gennady; Seshadri, Vivek; Mutlu, Onur
- Proceedings of the 21st international conference on Parallel architectures and compilation techniques - PACT '12
Operating SECDED-based caches at ultra-low voltage with FLAIR
conference, June 2013
- Qureshi, Moinuddin K.; Chishti, Zeshan
- 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN)
Bit error protection in cache memories
patent, August 2019
- Kalamatianos, John; Ganapathy, Shrikanth; Raasch, Steven E.
- US Patent Document 10,379,944
Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding
conference, January 2007
- Kim, Jangwoo; Hardavellas, Nikos; Mai, Ken
- 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007)
A 45nm dual-port SRAM with write and read capability enhancement at low voltage
conference, January 2007
- Wang, D. P.; Liao, H. J.; Yamauchi, H.
- 2007 IEEE International SOC Conference
Reliable Ultra-Low-Voltage Cache Design for Many-Core Systems
journal, December 2012
- Zhang, Meilin; Stojanovic, Vladimir M.; Ampadu, Paul
- IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 59, Issue 12
Application of Special ECC Matrix for Solving Stuck Bit Faults in an ECC Protected Mechanism
patent-application, October 2004
- Cargnoni, Robert Alan; Guthrie, Guy Lynn; Livingston, Kirk Samuel
- US Patent Application 10/418549; 20040210814
Energy efficient near-threshold chip multi-processing
conference, August 2007
- Zhai, Bo; Dreslinski, Ronald G.; Blaauw, David
- Proceedings of the 2007 international symposium on Low power electronics and design
A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM
journal, October 2007
- Kulkarni, Jaydeep P.; Kim, Keejong; Roy, Kaushik
- IEEE Journal of Solid-State Circuits, Vol. 42, Issue 10
Choosing an Error Protection Scheme for a Microprocessor's L1 Data Cache
conference, October 2006
- Sadler, Nathan N.; Sorin, Daniel J.
- 2006 International Conference on Computer Design