Methods and apparatus to detect and annotate backedges in a dataflow graph
Abstract
Disclosed examples to detect and annotate backedges in data-flow graphs include: a characteristic detector to store a node characteristic identifier in memory in association with a first node of a dataflow graph; a characteristic comparator to compare the node characteristic identifier with a reference criterion; and a backedge identifier generator to generate a backedge identifier indicative of a backedge between the first node and a second node of the dataflow graph based on the comparison, the memory to store the backedge identifier in association with a connection arc between the first and second nodes.
- Inventors:
- Issue Date:
- Research Org.:
- Intel Corporation, Santa Clara, CA (United States)
- Sponsoring Org.:
- USDOE
- OSTI Identifier:
- 1824057
- Patent Number(s):
- 11029927
- Application Number:
- 16/370,935
- Assignee:
- Intel Corporation (Santa Clara, CA)
- Patent Classifications (CPCs):
-
G - PHYSICS G06 - COMPUTING G06F - ELECTRIC DIGITAL DATA PROCESSING
- DOE Contract Number:
- B620873
- Resource Type:
- Patent
- Resource Relation:
- Patent File Date: 03/30/2019
- Country of Publication:
- United States
- Language:
- English
Citation Formats
ChoFleming, Jr., Kermin E., Tithi, Jesmin Jahan, Cranmer, Joshua, and Srinivasan, Suresh. Methods and apparatus to detect and annotate backedges in a dataflow graph. United States: N. p., 2021.
Web.
ChoFleming, Jr., Kermin E., Tithi, Jesmin Jahan, Cranmer, Joshua, & Srinivasan, Suresh. Methods and apparatus to detect and annotate backedges in a dataflow graph. United States.
ChoFleming, Jr., Kermin E., Tithi, Jesmin Jahan, Cranmer, Joshua, and Srinivasan, Suresh. Tue .
"Methods and apparatus to detect and annotate backedges in a dataflow graph". United States. https://www.osti.gov/servlets/purl/1824057.
@article{osti_1824057,
title = {Methods and apparatus to detect and annotate backedges in a dataflow graph},
author = {ChoFleming, Jr., Kermin E. and Tithi, Jesmin Jahan and Cranmer, Joshua and Srinivasan, Suresh},
abstractNote = {Disclosed examples to detect and annotate backedges in data-flow graphs include: a characteristic detector to store a node characteristic identifier in memory in association with a first node of a dataflow graph; a characteristic comparator to compare the node characteristic identifier with a reference criterion; and a backedge identifier generator to generate a backedge identifier indicative of a backedge between the first node and a second node of the dataflow graph based on the comparison, the memory to store the backedge identifier in association with a connection arc between the first and second nodes.},
doi = {},
journal = {},
number = ,
volume = ,
place = {United States},
year = {Tue Jun 08 00:00:00 EDT 2021},
month = {Tue Jun 08 00:00:00 EDT 2021}
}
Works referenced in this record:
Data Distribution Fabric in Scalable GPU's
patent-application, December 2015
- Koker, Altug; Striramassarma, Lakshminarayanan; Ali, Akif
- US Patent Application 14/320478; 20150379670
Multi-slice network processor
patent, February 2009
- Devanagondi, Harish R.; Belur, Harish P.; Petersen, Brian
- US Patent Document 7,486,678
Data processing method and device
patent, April 2012
- Vorbach, Martin; Becker, Jürgen; Weinhardt, Markus
- US Patent Document 8,156,284
Efficient Control and Communication Paradigms for Coarse-Grained Spatial Architectures
journal, September 2015
- Pellauer, Michael; Parashar, Angshuman; Adler, Michael
- ACM Transactions on Computer Systems, Vol. 33, Issue 3
Soft connections
conference, January 2009
- Pellauer, Michael; Adler, Michael; Chiou, Derek
- Proceedings of the 46th Annual Design Automation Conference on ZZZ - DAC '09
System and method for changing abilities of a process
patent, December 2015
- Ristovski, Aleksandar; Goodman, Kevin; Stecher, Brian
- US Patent Document 9,213,571
Shared bypass bus structure
patent-application, August 2003
- Kapur, Suvansh K.; Cheng, Kai; Hoogland, Robert J.
- US Patent Application 10/358568; 20030163649
Branch-aware FIFO for interprocessor data sharing
patent, August 2007
- Niell, Jose S.; Rosenbluth, Mark
- US Patent Document 7,257,665
Operator graph changes in response to dynamic connections in stream computing applications
patent, September 2015
- Branson, Michael J.; Cradick, Ryan K.; Santosuosso, John M.
- US Patent Document 9,135,057
Data Processing System, Apparatus and Method for Performing Fractional Multiply Operations
patent-application, December 2009
- Paver, Nigel C.; Aldrich, Bradley C.
- US Patent Application 12/539944; 20090300325
Network-on-Chip Dataflow Architecture
patent-application, November 2007
- Nguyen, Tran Lee
- US Patent Application 11/382382; 20070266223
System for store to load forwarding of individual bytes from separate store buffer entries to form a single load word
patent, October 2000
- Witt, David B.
- US Patent Document 6,141,747
System and method for dynamically reducing power consumption of floating-point logic
patent, February 2016
- Tannenbaum, David C.; Iyer, Srinivasan
- US Patent Document 9,268,528
Path-Sensitive Dataflow Analysis Including Path Refinement
patent-application, June 2011
- Bartolomeo, David
- US Patent Application 12/636708; 20110145799
Processors, methods, and systems with a configurable spatial accelerator
patent, February 2020
- Fleming, Kermin; Glossop, Kent D.; Steely, Jr., Simon C.
- US Patent Document 10,558,575
System and Method of Load-Store Forwarding
patent-application, February 2009
- Ramani, Krishnan; Lauterbach, Gary
- US Patent Application 11/832303; 20090037697
Distributed Processing Architecture With Scalable Processing Layers
patent-application, December 2009
- Khan, Shoab Ahmad; Rehmatullah, M. Mohsin; Ahmed, Sherjil
- US Patent Application 12/335644; 20090328048
Apparatus, Methods, and Systems with a Configurable Spatial Accelerator
patent-application, July 2019
- Fleming, Kermin E.; Glossop, Kent D.; Steely, Simon C.
- US Patent Application 15/859454; 20190205263
Configuring Coarse-Grained Reconfigurable Arrays (CGRAs) for Dataflow Instruction Block Execution in Block-Based Dataflow Instruction Set Architectures (ISAs)
patent-application, March 2017
- Sankaralingam, Karthikeyan; Wright, Gegory Michael
- US Patent Application 14/861201; 20170083313
Method and apparatus for efficient scalable storage management
patent, February 2007
- Guha, Aloke; McMillian, Gary; Santilli, Chris T.
- US Patent Document 7,181,578
Distributed data set encryption and decryption
patent, April 2018
- Bowman, Brian Payton; Gass, III, Mark Kuebler
- US Patent Document 9,946,718
Dynamically Specialized Datapaths for energy efficient computing
conference, February 2011
- Govindaraju, Venkatraman; Ho, Chen-Han; Sankaralingam, Karthikeyan
- 2011 IEEE 17th International Symposium on High Performance Computer Architecture
Data communication system, data transmission apparatus, data reception apparatus, data communication method, and computer program
patent-application, June 2003
- Kohno, Michinari
- US Patent Application 10/310212; 20030120802
Eyeriss v2: A Flexible Accelerator for Emerging Deep Neural Networks on Mobile Devices
journal, June 2019
- Chen, Yu-Hsin; Yang, Tien-Ju; Emer, Joel S.
- IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 9, Issue 2
Apparatus, Methods, and Systems for Unstructured Data Flow in a Configurable Spatial Accelerator
patent-application, October 2019
- Halpern, Pablo; Fleming, Kermin E.; Sukha, James
- US Patent Application 15/944546; 20190303153
Handling cache misses by selectively flushing the pipeline
patent, March 2009
- Golla, Robert T.; Luttrell, Mark A.
- US Patent Document 7,509,484
Apparatus, Methods, and Systems for Integrated Performance Monitoring in a Configurable Spatial Accelerator
patent-application, October 2019
- Fleming, JR., Kermin E.; Steely, JR., Simon C.; Tang, Jinjie
- US Patent Application 15/941888; 20190303263
Runtime Reconfigurable Dataflow Processor
patent-application, November 2012
- Farabet, Clement; LeCun, Yann
- US Patent Application 13/479742; 20120303932
Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
patent, July 2012
- Master, Paul L.; Smith, Stephen J.; Watson, John
- US Patent Document 8,225,073
Storing narrow produced values for instruction operands directly in a register map in an out-of-order processor
patent, October 2018
- Krishna, Anil; Smith, Rodney Wayne; Navada, Sandeep Suresh
- US Patent Document 10,108,417
Hardware Accelerator Architecture and Template for Web-Scale K-Means Clustering
patent-application, July 2018
- Nurvitadhi, Eriko; Venkatesh, Ganesh; Krishnan, Srivatsan
- US Patent Application 15/396515; 20180189675
Network processor FPGA (npFPGA): multi-die FPGA chip for scalable multi-gigabit network processing
patent, February 2018
- Venkataraman, Krishnan
- US Patent Document 9,886,072
Parallel Data Processing Apparatus
patent-application, September 2007
- Stuttard, Dave; Williams, Dave; O'Dea, Eamon
- US Patent Application 11/669868; 20070226458
Mechanism for efficient data access and communication in parallel computations on an emulated spatial lattice
patent, March 2001
- Margolus, Norman H.
- US Patent Document 6,205,533
Dynamic Data Partitioning For Optimal Resource Utilization In A Parallel Data Processing System
patent-application, November 2012
- Caufield, Brain K.; Ding, Fan; Shum, Mi Wan
- US Patent Application 13/534478; 20120278587
Minimizing buffer requirements for throughput constrained parallel execution of synchronous dataflow graph
conference, January 2011
- Shin, Tae-ho; Oh, Hyunok; Ha, Soonhoi
- 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)
Resource access system and method
patent, September 2017
- Davis, Charles A.; Kim, Danny; Manlief, Michael Hilton
- US Patent Document 9,762,563
A preliminary architecture for a basic data-flow processor
conference, January 1975
- Dennis, Jack B.; Misunas, David P.
- Proceedings of the 2nd annual symposium on Computer architecture - ISCA '75
Unidirectional bus architecture for SoC applications
patent-application, February 2004
- Zaidi, S. Jauher A.; Ou, Michael; Adams, Lyle E.
- US Patent Application 10/628163; 20040022107
Load/store unit employing last-in-buffer indication for rapid load-hit-store
patent, May 2002
- Hughes, William A.; Roberts, James
- US Patent Document 6,393,536
Flash-Memory Device with RAID-type Controller
patent-application, November 2012
- Yu, Frank; Ma, Abraham C.; Chen, Shimon
- US Patent Application 13/494409; 20120278543
Methods and apparatus for synchronizing data access to a local memory in a multi-processor system
patent-application, August 2006
- Yamazaki, Takeshi
- US Patent Application 11/053689; 20060179255
Distributed storage management platform architecture
patent-application, August 2002
- Lo, Horatio; Tam, Sam; Lee, David
- US Patent Application 09/904824; 20020103943
Data Conversion Apparatus, Data Conversion Method, and Computer-Readable Recording Medium Storing Program
patent-application, January 2010
- Higuchi, Hayato; Suton, Shinichi; Tabaru, Tsuguchika
- US Patent Application 12/503455; 20100017761
Instruction Set Architecture and Software Support for Register State Migration
patent-application, April 2018
- Breslow, Alexander D.; Jayasena, Nuwan S.; Zhang, Dong Ping
- US Patent Application 15/299990; 20180113797
System and method for zone access control
patent, March 2018
- Amiri, Dariush; Banga, Vineet
- US Patent Document 9,923,905
Semiconductor Integrated Circuit and Method for Operating Same
patent-application, January 2014
- Yamada, Hiromichi; Kanekawa, Nobuyasu; Sakata, Teruaki
- US Patent Application 14/110786; 20140032860
Signal distribution device for load sharing multiprocessor
patent-application, December 2003
- Saito, Makoto; Sasaki, Yoshimi; Oishi, Kazuaki
- US Patent Application 10/308432; 20030225814
An Empirical Study of Iterative Data-Flow Analysis
conference, November 2006
- Cooper, Keith D.; Harvey, Timothy J.; Kennedy, Ken
- 2006 15th International Conference on Computing
Tightly coupled processor arrays using coarse grained reconfigurable architecture with iteration level commits
patent, November 2018
- Chen, Chia-Yu; Gopalakrishnan, Kailash; Oh, Jinwook
- US Patent Document 10,120,685
Partitioned shared cache
patent-application, June 2007
- Narad, Charles
- US Patent Application 11/314229; 20070143546
Determining guarding predicate from partition graph based deduction to generate inverse predicate expression for branch reversal
patent, April 2007
- Gillies, David; Chaiken, Ronnie
- US Patent Document 7,203,936
Sending messages in a network-on-chip and providing a low power state for processing cores
patent, May 2017
- Witek, Richard T.; Li, Long; Suresh, Maya
- US Patent Document 9,658,676
Reconfigurable integrated circuit device
patent-application, February 2007
- Kasama, Ichiro; Tsuruta, Toru; Nishida, Masaru
- US Patent Application 11/340871; 20070033369
Deterministic Parallel Processing
journal, August 2006
- Panesar, Gajinder; Towner, Daniel; Duller, Andrew
- International Journal of Parallel Programming, Vol. 34, Issue 4
Streaming Bridge Design with Host Interfaces and Network on Chip (NoC0Layers
patent-application, July 2015
- Rajesh, Chopra; Kumar, Sailesh
- US Patent Application 14/144258; 20150188847
Speed and area tradeoffs in cluster-based FPGA architectures
journal, February 2000
- Marquardt, A.; Betz, V.; Rose, J.
- IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 8, Issue 1
Automatic generation of hardware/software interfaces
conference, January 2012
- King, Myron; Dave, Nirav
- Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS '12
Full access to memory interfaces via remote request
patent, October 2007
- Jeter, Robert E.; Marshall, John; Scott, Jeffery B.
- US Patent Document 7,290,096
Technique for Scaling the Bandwidth of a Processing Element to Match the Bandwidth of an Interconnect
patent-application, March 2015
- Denman, Marvin A.; Ma, Dennis K.; Glaser, Stephen David
- US Patent Application 14/031803; 20150082075
Processors, Methods, and Systems with a Configurable Spatial Accelerator
patent-application, January 2019
- Fleming, Kermin; Glossop, Kent D.; Steely, JR., Simon C.
- US Patent Application 15/640543; 20190018815
Methods and systems for saving draft electronic communications
patent, August 2015
- Buchheit, Paul; Lim, Jing Yee; Singh, Sanjeev
- US Patent Document 9,110,846
Dataflow Predication
conference, December 2006
- Smith, Aaron; Nagarajan, Ramadass; Sankaralingam, Karthikeyan
- 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06)
Multiprocessor System and Synchronous Engine Device Thereof
patent-application, June 2013
- Sun, Ninghui; Chen, Fei; Cao, Zheng
- US Patent Application 13/819886; 20130166879
Tightly Coupled Processor Arrays Using Coarse Grained Reconfigurable Architecture with Iteration Level Commits
patent-application, May 2017
- Chen, Chia-yu; Gopalakrishnan, Kailash; Oh, Jinwook
- US Patent Application 14/932672; 20170123795
Method and apparatus for dynamic scheduling of instructions to ensure sequentially coherent data in a processor employing out-of-order execution
patent, August 1997
- Branigin, Michael H.
- US Patent Document 5,655,096
Apparatus and Method for Selectable Hardware Accelerators i a Data Driven Architecture
patent-application, December 2019
- Lippincott, Louis A.; Johnson, Patrick F.
- US Patent Application 12/548322; 20090309884
Methods and Apparatus to Compile Code to Generate Data Flow Code
patent-application, February 2019
- Glossop, Kent; Fleming, Kermin; Zhang, Yongzhi
- US Patent Application 15/855964; 20190042217
Intelligent Wide Port Phy Usage
patent-application, September 2017
- Gerhart, Darin Edward; Ortmeier, Nicholas Edward
- US Patent Application 15/061768; 20170255414
Instruction Length Based Cracking for Instruction of Variable length Storage Operands
patent-application, August 2011
- Busaba, Fadi; Curran, Brian; Giamei, Bruce
- US Patent Application 12/707163; 20110202747
Apparatus, Methods, and Systems for Conditional Queues in a Configurable Spatial Accelerator
patent-application, October 2019
- Fleming, JR., Kermin E.; Zou, Ping; Diamond, Mitchell
- US Patent Application 15/944761; 20190303168
Apparatus and Method for Configuring Hardware to Operate in Multiple Modes During Runtime
patent-application, March 2018
- Wang, Qiang; Wang, Zhuolei; Ahmed, Taneem
- US Patent Application 15/703705; 20180081834
Highly Scalable Architecture for Application Network Appliances
patent-application, March 2009
- Bagepalli, Magaraj; Gandhi, Prashant; Patra, Abhijit
- US Patent Application 12/101850; 20090063665
System and Method for Reducing Reconfiguration Power Usage
patent-application, January 2013
- Huang, Randy R.; Voogel, Martin; Hu, Jingcao
- US Patent Application 13/638934; 20130021058
Safe Double Buffering Using DMA Safe Linked Lists
patent-application, December 2018
- Cottam, Simon; Woodward, Patrice
- US Patent Application 15/627872; 20180365181
Programmable processing elements interconnected by a communication network including field operation unit for performing field operations
patent, March 1992
- Agrawal, Prathima; Dally, William J.; Krishnakumar, Anjur Sundaresan
- US Patent Document 5,093,920
FPGA input output buffer with registered tristate enable
patent, October 2002
- Trimberger, Stephen M.
- US Patent Document 6,460,131
S-adenosyl methionine regulation of metabolic pathways and its use in diagnosis and therapy
patent, February 2000
- Schwartz, Dennis E.; Vermeulen, Nicolaas M. J.; O'Day, Christine L.
- US Patent Document 6,020,139
Write-through-read (WTR) comparator circuits, systems, and methods use of same with a multiple-port file
patent, November 2013
- Burda, Gregory Christopher; McIlvaine, Michael Scott; Nunamker, Nathan Samuel
- US Patent Document 8,578,117
Content addressable memory architecture
patent-application, January 2007
- Bittner, JR., Ray A.
- US Patent Application 11/143060; 20070011436
Method and System for Referral Tracking
patent-application, December 2012
- Hyder, Adam; Ali, Syed Mohammed; Aggarwal, Varun
- US Patent Application 13/168665; 20120330701
Processors, Methods, and Systems for a Configurable Spatial Accelerator with Transactional and Replay Features
patent-application, January 2019
- Fleming, Kermin; Glossop, Kent D.; Steely, JR., Simon C.
- US Patent Application 15/640533; 20190004945
Sequence Optimizations in a High-Performance Computing Environment
patent-application, February 2019
- Zhang, Yongzhi
- US Patent Application 16/017000; 20190042218
Load/store unit for a processor, and applications thereof
patent-application, April 2008
- Yu, Meng-Bing; Nangia, Era K.; Ni, Michael
- US Patent Application 11/529728; 20080082794
Guarded commands, nondeterminacy and formal derivation of programs
journal, August 1975
- Dijkstra, Edsger W.
- Communications of the ACM, Vol. 18, Issue 8
Bit Remapping Mechanism to Enhance Lossy Compression in Floating-Point Applications
patent-application, August 2016
- Duong, Nam; Mednick, Elliot; Zhang, DongPing
- US Patent Application 14/623088; 20160239265
Apparatus, Methods, and Systems for Operations in a Configurable Spatial Accelerator
patent-application, February 2019
- Fleming, JR., Kermin E.; Steely, JR., Simon C.; Glossop, Kent D.
- US Patent Application 16/024854; 20190042513
Hierarchical and distributed information processing architecture for a container security system
patent-application, May 2007
- Meyers, Richard C.; Easley, Ronald; Martin, Ron
- US Patent Application 11/598828; 20070118332
Towards closing the energy gap between HOG and CNN features for embedded vision
conference, May 2017
- Suleiman, Amr; Chen, Yu-Hsin; Emer, Joel
- 2017 IEEE International Symposium on Circuits and Systems (ISCAS)
System and method for distribution of content over a network
patent, July 2011
- Day, Mark Stuart
- US Patent Document 7,987,479
Hierarchical Reconfigurable Computer Architecture
patent-application, May 2011
- Cambonie, Joel
- US Patent Application 12/086971; 20110107337
Method and Apparatus for Segmented Sequential Storage
patent-application, April 2016
- Glew, Andrew f.
- US Patent Application 14/920590; 20160098279
Revisiting sorting for GPGPU stream architectures
conference, January 2010
- Merrill, Duane G.; Grimshaw, Andrew S.
- Proceedings of the 19th international conference on Parallel architectures and compilation techniques - PACT '10
Building a wavecache
patent-application, August 2006
- Eggers, Susan Jane; Mercaldi, Martha Allen; Michelson, Kenneth Alan
- US Patent Application 11/284760; 20060179429
Airsync: Enabling Distributed Multiuser MIMO with Full Multiplexing Gain
patent-application, November 2013
- Balan, Horia Vlad; Psounis, Kostantinos; Caire, Giuseppe
- US Patent Application 13/895181; 20130315211
Method and system for input/output control in a multiprocessor system utilizing simultaneous variable-width bus access
patent, July 1999
- Tran, Cang Ngoc; Kahle, James Allan
- US Patent Document 5,930,484
System and method of constructing data packets in a packet switch
patent, October 2010
- MacAdam, Angus David Starr; Preyer, Justin; Glaser, Alan
- US Patent Document 7,817,652
Method and system for reliable multicast
patent, May 2011
- Colloff, Ian G.; Dickman, Lloyd; Prohofsky, Thomas R.
- US Patent Document 7,936,753
Store Address Prediction for Memory Disambiguation in a Processing Device
patent-application, March 2015
- Kim, Ho-Seop; Chappell, Robert S.; Soo, Choon Y.
- US Patent Application 14/036591; 20150089186
System and Method for Relay Node Flow Control in a Wireless Communications System
patent-application, December 2010
- Yang, Yunsong; Xiao, Weimin; Xia, Pengfei
- US Patent Application 12/784352; 20100302946
ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix
book, January 2003
- Mei, Bingfeng; Vernalde, Serge; Verkest, Diederik
- Field Programmable Logic and Application
High-performance, superscalar-based computer system with out-of-order instruction execution and concurrent results distribution
patent, September 1996
- Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki
- US Patent Document 5,560,032
Reconfigurable circuit having a pipeline structure for carrying out time division multiple processing
patent, November 2011
- Fujisawa, Hisanori; Yosizawa, Hideki; Ishihara, Teruo
- US Patent Document 8,055,880
Block-based data striping to flash memory
patent, February 2010
- McDaniel, Ryan C.
- US Patent Document 7,660,911
Hierarchical Instruction Scheduler
patent-application, June 2008
- Glew, Andrew Forsyth
- US Patent Application 11/932801; 20080133889
Hardware Acceleration for a Compressed Computation Database
patent-application, April 2016
- Dickie, Garth A.; Sporer, Michael; Viehland, Jason A.
- US Patent Application 14/702889; 20160098420
Configurable Logic Constructs in a Loop Buffer
patent-application, January 2015
- Basant, Aarti; Gold, Brian; Schlanger, Erik
- US Patent Application 13/947674; 20150026434
Solid State Device with Allocated Flash Cache
patent-application, September 2012
- Moshayedi, Mark
- US Patent Application 12/492110; 20120239853
Energy-Efficient and High Performance CGRA-based Multi-Core Architecture
journal, June 2014
- Kim, Yoonjin; Kim, Heesun
- JSTS:Journal of Semiconductor Technology and Science, Vol. 14, Issue 3
Computer with Hybrid Von-Neumann/Dataflow Execution Architecture
patent-application, February 2017
- Nowatzki, Anthoney; Gangadhar, Vinay; Sankaralingam, Karthikeyan
- US Patent Application 14/813945; 20170031866
Method of locating packet for resend from retry buffer
patent-application, March 2008
- Tsang, Sinkwin; Chatterjee, Mitrajit
- US Patent Application 11/514281; 20080072113
Concurrent processing element system, and method
patent-application, July 2009
- Fant, Karl
- US Patent Application 12/314572; 20090182993
Variable Precision Floating Point Multiply-Add Circuit
patent-application, July 2014
- Kaul, Himanshu; Anders, Mark A.; Mathew, Sanu K.
- US Patent Application 13/730390; 20140188968
Specifying Components in Graph-Based Programs
patent-application, March 2016
- Stanfill, Craig W.; Weiss, Adam; Roberts, Andrew F.
- US Patent Application 14/843084; 20160062736
Distributed Job Manager Recovery
patent-application, December 2008
- Challenger, James R.; Challenger, Louis R.; Giles, James R.
- US Patent Application 11/761152; 20080307258
Task Backpressure and Deletion in a Multi-Flow Network Processor Architecture
patent-application, May 2013
- Mital, Deepak; Burroughs, William; Betker, Michael R.
- US Patent Application 13/687865; 20130125127
Processors, Methods, and Systems with a Configurable Spatial Accelerator
patent-application, July 2013
- Fleming, JR., Kermin E.; Glossop, Kent D.; Steely, JR., Simon C.
- US Patent Application 15/396402; 20180189231
Processors, Methods, and Systems for a Configurable Spatial Accelerator with Memory System Performance, Power Reduction, and Atomics Support Features
patent-application, January 2019
- Adler, Michael C.; Chow, Chiachen; Crago, Neal C.
- US Patent Application 15/640534; 20190004955
Tuning congestion control in IP multicast to mitigate the impact of blockage
patent-application, October 2010
- Kantawala, Anshul; Gokhale, Dilip S.; Bush, Stephen F.
- US Patent Application 12/588811; 20100254262
Bounded Dataflow Networks and Latency-Insensitive circuits
conference, July 2009
- Vijayaraghavan, Muralidaran
- 2009 7th IEEE/ACM International Conference on Formal Methods and Models for Co-Design
Buffer-Aware Transmission Rate Control for Real Time Video Streaming System
patent-application, November 2013
- Wu, Jiyan; Wen, Zhizhuan; Wei, Jun
- US Patent Application 15/595746; 20180332342
Storage controller, data processing method and computer program product for reducing channel processor overhead by effcient cache slot management
patent-application, October 2008
- Gotoh, Youichi
- US Patent Application 12/213919; 20080270689
Applying Dataflow Analysis to Detecting Software Vulnerability
conference, February 2008
- Kim, Hyunha; Choi, Tae-Hyoung; Jung, Seung-Cheol
- 2008 10th International Conference on Advanced Communication Technology
Hybrid Block-Based Processor and Custom Function Blocks
patent-application, November 2017
- Smith, Aaron L.; Gray, Jan S.
- US Patent Application 15/224624; 20170315815
Scalable architecture for IP block integration
patent, December 2017
- Teh, Chee Hak; Rahman, Arifur; Grenier, Richard A.
- US Patent Document 9,847,783
What's in a region?
journal, March 1993
- Ball, Thomas
- ACM Letters on Programming Languages and Systems, Vol. 2, Issue 1-4
Executing distributed memory operations using processing elements connected by distributed channels
patent, June 2019
- Ahsan, Bushra; Adler, Michael C.; Crago, Neal C.
- US Patent Document 10,331,583
Apparatus, Methods, and Systems for Memory Consistency in a Configurable Spatial Accelerator
patent-application, July 2019
- Fleming, Kermin E.; Steely, JR., Simon C.; Glossop, Kent D.
- US Patent Application 15/859466; 20190205284
Data processing device and method
patent, August 2014
- Vorbach, Martin; Thomas, Alexander
- US Patent Document 8,812,820
System and Method for Encryption Key Management in a Mixed Infrastructure Stream Processing Framework
patent-application, July 2009
- Douglis, Frederick; Garbow, Zachary; Ye, Fan
- US Patent Application 11/971322; 20090175444
Chimaera
conference, January 2000
- Ye, Zhi Alex; Moshovos, Andreas; Hauck, Scott
- Proceedings of the 27th annual international symposium on Computer architecture - ISCA '00
Tile-Based Processor Architecture Model for High-Efficiency Embedded Homogeneous Multicore Platforms
patent-application, November 2012
- Manet, Philippe; Rousseau, Bertrand
- US Patent Application 13/576219; 20120303933
Method, Device and System for Control Signalling in a Data Path Module of a Data Stream Processing Engine
patent-application, January 2015
- Ivanov, Vladimir
- US Patent Application 13/994582; 20150033001
Method for Allocating Addresses to Data Buffers in Distributed Buffer Chipset
patent-application, February 2013
- Chu, Huaixiang; Ma, Qingjiang
- US Patent Application 13/512507; 20130036287
Computing Device with Asynchronous Auxiliary Execution Unit
patent-application, March 2012
- Boury, Bechara F.; Mitchell, Michael Bryan; Steinmetz, Paul MIchael
- US Patent Application 12/882434; 20120066483
Systems and Methods for Providing Distributed Technology Independent Memory Controllers
patent-application, November 2007
- Gower, Kevin C.; Maule, Warren E.; Tremaine, Robert B.
- US Patent Application 11/420034; 20070276976
Method and Apparatus for Nearest Potential Store Tagging
patent-application, September 2014
- Abdallah, Mohammad A.; Singh, Mendeep
- US Patent Application 14/209736; 20140281409
Reconfigurable computing
journal, June 2002
- Compton, Katherine; Hauck, Scott
- ACM Computing Surveys, Vol. 34, Issue 2
Multi-processing System and a Method of Executing a Plurality of Data Processing Tasks
patent-application, May 2010
- Bekooij, Marco J. G.
- US Patent Application 12/301148; 20100115168
Apparatus, Methods, and Systems for Multicast in a Configurable Spatial Accelerator
patent-application, July 2019
- Fleming, JR., Kermin E.; Zou, Ping; Diamond, Mitchell
- US Patent Application 15/859473; 20190205269
Processors, Methods, and Systems with a Configurable Spatial Accelerator Having a Sequencer Dataflow Operator
patent-application, April 2019
- Tang, Jinjie; Fleming, Kermin E.; Steely, Simon C.
- US Patent Application 15/721802; 20190102338
Data-Driven Integrated Circuit Architecture
patent-application, May 2012
- Kelen, Steven Hennick; Box, Brian A.; Rudosky, John M.
- US Patent Application 13/216193; 20120126851
Memory Ordering in Acceleration Hardware
patent-application, July 2018
- Fleming, JR., Kermin Elliott; Steely, JR., Simon C.; Glossop, Kent D.
- US Patent Application 15/396038; 2018018899
Scheduling processing threads
patent-application, July 2004
- Fallon, Michael; Raghunandan, Makaram
- US Patent Application 10/335148; 20040128401
Method and Apparatus for Saving Power of a Processor Socket in a Multi-Socket Computer System
patent-application, March 2016
- Kandula, Phani Kumar; Thomas, Tessil
- US Patent Application 14/486695; 20160077568
Content/service handling and delivery
patent-application, May 2005
- Carver, David; Gerovac, Branko J.; Kelso, R. James
- US Patent Application 10/863065; 20050108776
Dynamic Reduction of Stream Backpressure
patent-application, March 2013
- Branson, Michael J.; Cradick, Ryan A.; Santosuosso, John M.
- US Patent Application 13/682008; 20130081042
High Availability Memory System
patent-application, August 2010
- O'Connor, James A.; Gover, Kevin C.; Lastras-Montano, Luis A.
- US Patent Application 12/390731; 20100217915
Integrated circuit and related improvements
patent-application, July 2004
- Parkes, Stephen Maxwell
- US Patent Application 10/679978; 20040124877
System for accessing distributed memory by breaking each accepted access request into series of instructions by using sets of parameters defined as logical channel context
patent, November 1996
- Stager, Gary B.
- US Patent Document 5,574,944
Common Memory Programming
patent-application, December 2011
- Finkler, Ulrich A.; Hirsch, Steven N.; Reindel, Harold E.
- US Patent Application 12/816588; 20110314238
Universal dependency vector/queue entry
patent, April 2003
- Witt, David B.
- US Patent Document 6,553,482
Method and Apparatus for Performing Memory Space Reservation and Management
patent-application, October 2013
- Tsai, Chuan-Yu; Lin, Yi-Chun
- US Patent Application 15/811698; 20180293162
Method and apparatus for managing the placement of data in a storage system to achieve increased system performance
patent, November 2001
- D'Errico, Matthew J.; Blumenau, Steven M.; Ofer, Erez
- US Patent Document 6,314,503
Memory Violation Prediction
patent-application, March 2018
- Kothinti, Naresh; Krishna, Anil; Wright, Gregory Michael
- US Patent Application 15/273182; 20180081806
Automatic and transparent hardware conversion of traditional control flow to predicates
patent, May 2008
- Uht, Augustus K.; Morano, David; Kaeli, David
- US Patent Document 7,380,108
Storage Apparatus and Load Distribution Method
patent-application, July 2012
- Watanabe, Koji; Sugimoto, Sadahiro
- US Patent Application 13/421347; 20120174118
Fast Recalibration Circuitry for Input/Output (IO) Compensation Finite State Machine Power-Down-Exit
patent-application, March 2015
- Mellinger, Todd W.; Denler, Nicholas J.
- US Patent Application 14/027682; 20150082011
Processors, Methods and Systems for Debugging a Configurable Spatial Accelerator
patent-application, March 2019
- Fleming, Kermin; Steely, JR., Simon C.; Glossop, Kent D.
- US Patent Application 15/719281; 20190095383
Reconfigurable Array Processor for Floating-Point Operations
patent-application, April 2009
- Yang, Hoon-Mo; Jo, Man Hwee; Park, Il Hyun
- US Patent Application 12/126825; 20090113169
Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
patent-application, August 2007
- Tran, Thang
- US Patent Application 11/466621; 20070204137
Processors, Methods, and Systems for a Memory Fence in a Configurable Spatial Accelerator
patent-application, March 2019
- Fleming, Kermin; Glossop, Kent D.; Steely, JR., Simon C.
- US Patent Application 15/719285; 20190095369
Distributed Clock Gating with Centralized State Machine Control
patent-application, December 2019
- Mantor, Michael J.; Shah, Tushar K.; Lee, Donald P.
- US Patent Application 12/192530; 20090300388
Electronic Device and Method for Converting Source Code into Machine Code
patent-application, November 2015
- Kim, Bo-Gon; Park, Jae-Min; Cho, Jung-Min
- US Patent Application 14/701038; 20150317134
Incorporating a Spatial Array into One or More Programmable Processor Cores
patent-application, April 2015
- Burger, Douglas C.; Smith, Aaron; Duric, Milovan
- US Patent Application 14/252101; 20150100757
Array-type computer processor
patent-application, August 2005
- Inuo, Takeshi; Kajihara, Nobuki; Toi, Takao
- US Patent Application 11/049305; 20050172103
Distributed Data Storage by Means of Authorization Token
patent-application, October 2017
- Schnjakin, Maxim; Berger, Philipp; Graupner, Hendrik
- US Patent Application 15/511914; 20170293766
Parallelization of PLC Programs for Operation in Multi-processor environments
patent-application, October 2012
- Martinez Canedo, Arquimedes; Al Faruque, Mohammad Abdullah; Packer, Michell
- US Patent Application 13/420691; 20120260239
Reconfigurable circuit, processor having reconfigurable circuit, method of determining functions of logic circuits in reconfigurable circuit, method of generating circuit, and circuit
patent-application, June 2005
- Okada, Makoto; Hiramatsu, Tatsuo; Nakajima, Hiroshi
- US Patent Application 11/016904; 20050134308
Floating Point Addition
patent-application, June 2008
- Sivtsov, Alexey Yurievich; Gorshtein, Valery Yakovlevich
- US Patent Application 10/589448; 20080133895
Finding All the Elementary Circuits of a Directed Graph
journal, March 1975
- Johnson, Donald B.
- SIAM Journal on Computing, Vol. 4, Issue 1
Execution unit with data dependent conditional write instructions
patent, January 2013
- Muff, Adam J.; Tubbs, Matthew R.
- US Patent Document 8,356,162
Apparatus and methods employing variable clock gating hysteresis for a communications port
patent, March 2016
- Hofmann, Richard Gerard
- US Patent Document 9,285,860
MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources
conference, January 1996
- Mirsky,
- Proceedings IEEE Symposium on FPGAs for Custom Computing Machines FPGA-96
Data Processing Apparatus and Method for Controlling Use of an Issue Queue
patent-application, July 2014
- Airaud, Cedric Denis Robert; Scalabrino, Luca; Arsanto, Frederic Jean Denis
- US Patent Application 13/752621; 20140215189
Apparatuses and Methods for Distributing Row Hammer Refresh Events Across a Memory Device
patent-application, August 2018
- Wolff, Gregg D.
- US Patent Application 15/419590; 20180218767
Specifying Control and Data Connections in Graph-Based Programs
patent-application, March 2016
- Stanfill, Craig w.; Shapiro, Richard; Weiss, Adam
- US Patent Application 14/843103; 20160062737
Method and apparatus for efficient code generation for modulo scheduled uncounted loops
patent-application, December 2003
- Thompson, Carol L.; Srinivasan, Uma; Hank, Richard E.
- US Patent Application 10/175375; 20030233643
Processors and Methods for Configurable Clock Gating in a Spatial Array
patent-application, April 2019
- Diamond, Mitchell; Keen, Benjamin; Fleming, JR., Kermin E.
- US Patent Application 15/721816; 20190101952
Fused Multiply-Add Apparatus and Method
patent-application, May 2012
- Yu, Hyeong-Seok; Suh, Dong-Kwan; Kim, Suk-Jin
- US Patent Application 13/153885; 20120124117
Vector Mask Driven Clock Gating for Power Efficiency of a Processor
patent-application, August 2015
- Corbal, Jesus; Bradford, Dennis R.; Hall, Jonathan C.
- US Patent Application 13/997791; 20150220345
LegUp
journal, September 2013
- Canis, Andrew; Choi, Jongsok; Aldham, Mark
- ACM Transactions on Embedded Computing Systems, Vol. 13, Issue 2
Variable precision floating point multiply-add circuit
patent, August 2015
- Kaul, Himanshu; Anders, Mark A.; Mathew, Sanu K.
- US Patent Document 9,104,474
Apparatus Including Core and Clock Gating Circuit and Method of Operating Same
patent-application, March 2017
- Barber, Edgar; Golan, Ronen; Elmoalem, Eli
- US Patent Application 14/840943; 20170062075
Using Dataflow to Optimize Energy Efficiency of Deep Neural Network Accelerators
journal, January 2017
- Chen, Yu-Hsin; Emer, Joel; Sze, Vivienne
- IEEE Micro, Vol. 37, Issue 3
Data Processing System Having Integrated Pipelined Array Data Processor
patent-application, April 2015
- Vorbach, Martin; Becker, Jurgen; Weinhardt, Markus
- US Patent Application 14/572643; 20150106596
Non-Volatile Memory Based Mass Storage Devices and Methods for writing Data Thereto
patent-application, March 2013
- Schuette, Franz Michael; Clawson, William Ward
- US Patent Application 13/251491; 20130067138
Method for Performing Block Management, and Associated Memory Device and Controller Thereof
patent-application, March 2012
- Chou, Po-Sheng; Chang, Ching-Chin; Shen, Yang-Chih
- US Patent Application 13/115962; 20120079168
Reconfigurable Processor Fabric Implementation Using Satisfiability Analysis
patent-application, October 2018
- Hetzel, Asmus; Chaudhuri, Samit
- US Patent Application 15/953896; 20180300181
The Stratixâ„¢ 10 Highly Pipelined FPGA Architecture
conference, February 2016
- Lewis, David; Chiu, Gordon; Chromczak, Jeffrey
- Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays
Methods and Apparatus to Insert Buffers in a Dataflow Graph
patent-application, July 2019
- Chofleming, JR., Kermin E.; Tithi, Jesmin Jahan; Srinivasan, Suresh
- US Patent Application 16/370934; 20190229996
Low Latency Massive Parallel Data Processing Device
patent-application, January 2012
- Vorbach, Martin; May, Frank
- US Patent Application 13/026475; 20120017066
Packet processor with wide register set architecture
patent-application, September 2006
- Cohen, Earl T.
- US Patent Application 36941606; 20060200647
Thread Communications
patent-application, January 2009
- May, Michael David; Hedinger, Peter; Dixon, Alastair
- US Patent Application 11/774315; 20090013329
Memory ordering in acceleration hardware
patent, February 2020
- Fleming, Kermin; Steely, Jr., Simon C.; Glossop, Kent D.
- US Patent Document 10,572,376
Iteration Support in a Heterogeneous Dataflow Engine
patent-application, January 2015
- Rossbach, Chris John; Currey, Jonathan J.
- US Patent Application 13/929762; 20150007182
Granular support vector machine with random granularity
patent, April 2012
- Tang, Yuchun; He, Yuanchen
- US Patent Document 8,160,975
Capacitive-Coupled Non-Volatile Thin-Film Transistor Strings in Three Dimensional Arrays
patent-application, March 2017
- Harari, Eli
- US Patent Application 15/248420; 20170092371
Executing Distributed Memory Operations Using Processing Elements Connected by Distributed Channels
patent-application, October 2019
- Ahsan, Bushra; Adler, Michael C.; Crago, Neal C.
- US Patent Application 16/443717; 20190303312
Cryopreservation of Adipose Tissue for the Isolation of Mesenchymal Stem Cells
patent-application, January 2011
- Wouters, Guy; van Wemmel, Kelly; de Waele, Peter
- US Patent Application 12/921489; 20110008300
DMA-Based Acceleration of Command Push Buffer Between Host and Target Devices
patent-application, December 2011
- Mejdrich, Eric O.; Schardt, Paul E.; Shearer, Robert A.
- US Patent Application 12/824674; 20110320724
I/O Circuit and Data Transmission Control Method
patent-application, June 2017
- Wang, Wei-Lin; Shen, Peng; Lai, Jim
- US Patent Application 14/967809; 20170163543
DySER: Unifying Functionality and Parallelism Specialization for Energy-Efficient Computing
journal, September 2012
- Govindaraju, Venkatraman; Ho, Chen-Han; Nowatzki, Tony
- IEEE Micro, Vol. 32, Issue 5
Event scheduling for multi-port xDSL transceivers
patent-application, February 2005
- O'Toole, Anthony J. P.; Hashemi, Mark
- US Patent Application 10/871896; 20050025120
Memory command handler for use in an image signal processor having a data driven architecture
patent-application, December 2004
- Lippincott, Louis A.
- US Patent Application 10/609042; 20040263524
Information processing system and method of processing information
patent, January 2019
- Nagai, Kohta
- US Patent Document 10,187,467
Processor and Memory Control Method
patent-application, May 2009
- Park, Il Hyun; Ryu, Soojung; Yoo, Dong-Hoon
- US Patent Application 12/048658; 20090119456
Virtual Configuration Systems and Methods
patent-application, October 2018
- West, Christopher; Deshpande, Kaustubh; Schmitt, Terry
- US Patent Application 15/479085; 20180285385
Behavioral level observability analysis and its applications
patent, April 2004
- Wang, Qi
- US Patent Document 6,728,945
Detecting and reissuing of loop instructions in reorder structure
patent, May 2015
- Jamil, Sujat; O'Bleness, R. Frank; Delgross, Joseph
- US Patent Document 9,026,769
Computer Accelerator System with Improved Efficiency
patent-application, September 2015
- Ho, Chen-Han; Sankaralingam, Karthikeyan; Kim, Sung
- US Patent Application 14/212676; 20150261528
EIE: Efficient Inference Engine on Compressed Deep Neural Network
conference, June 2016
- Han, Song; Liu, Xingyu; Mao, Huizi
- 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA)
Transport-triggering vs. operation-triggering
book, January 1994
- Hoogerbrugge, Jan; Corporaal, Henk
- Lecture Notes in Computer Science
Multipoint-to-multipoint echo processing in a network switch
patent, August 1999
- Bubenik, Richard G.; Caldara, Stephen A.; Hauser, Stephen A.
- US Patent Document 5,933,429
Processor Communications
patent-application, April 2015
- Stanford-Jason, Andrew; May, Michael David; Toon, Nigel Jurgen
- US Patent Application 14/059127; 20150113184
Scalable high performance 3D graphics
patent, May 2008
- Deering, Michael F.; Lavelle, Michael G.
- US Patent Document 7,379,067
Integrated circuit device with programmable analog subsystem
patent, October 2016
- Thiagarajan, Eashwar; Kutz, Harold; Klein, Hans
- US Patent Document 9,473,144
Data processing device, method of executing a program and method of compiling
patent, September 2003
- Hoogerbrugge, Jan; Augusteijn, Alexander
- US Patent Document 6,615,333
Memory Controllers, Memory Systems, Solid State Drives and Methods for Processing a Number of Commands
patent-application, October 2010
- Asnaashari, Mehdi; Liao, Yu-Song; Yang, Jui-Yao
- US Patent Application 12/421093; 20100262721
Flash-Memory Device with RAID-type Controller
patent-application, December 2011
- Yu, Frank; Ma, Abraham C.; Chen, Shimon
- US Patent Application 13/197721; 20110302358
Methods for Specifying Processor Architectures for Programmable Integrated Circuits
patent-application, December 2017
- Langhammer, Marin
- US Patent Application 15/190716; 20170371836
Method and apparatus for modeling dataflow systems and realization to hardware
patent-application, November 2002
- Donaldson, Robert L.; Hudson, Rhett D.; Marshall, JR., Lawrence M.
- US Patent Application 10/152634; 20020178285
3D Semiconductor Device
patent-application, December 2011
- Kang, Uk-song; Jang, Dong-hyeon; Jang, Seong-jin
- US Patent Application 13/115501; 20110292708
Apparatus, Methods, and Systems for Remote Memory Access in a Configurable Spatial Accelerator
patent-application, October 2019
- Fleming, JR., Kermin E.; Steely, JR., Simon C.; Glossop, Kent D.
- US Patent Application 15/943608; 20190303297
LOC semiconductor assembled with room temperature adhesive
patent-application, July 2002
- Grigg, Ford B.; Farnworth, Warren M.
- US Patent Application 10/067592; 20020090751
Processors and Methods for Pipelined Runtime Services in a Spatial Array
patent-application, January 2019
- Fleming, Kermin; Steely, Simon C.; Glossop, Kent D.
- US Patent Application 15/640538; 20190004994
Neighbor Determination in Video Decoding
patent-application, April 2014
- Sermadevi, Yegnaswamy; Zhao, Weidong; Wu, Yongjun
- US Patent Application 14/100661; 20140098890
Process for converting programs in high-level programming languages to a unified executable for hybrid computing platforms
patent-application, February 2006
- Poznanovic, Damiel; Hammes, Jeffrey; Krause, Lisa
- US Patent Application 11/243498; 20060041872
Processors, Methods, and Systems for a Configurable Spatial Accelerator with Security Power Reduction, and Performance Features
patent-application, January 2019
- Adler, Michael C.; Fleming, Kermin; Glossop, Kent D.
- US Patent Application 15/640542; 20190004878
Block Floating Point Compression of Signal Data
patent-application, April 2011
- Wegener, Albert w.
- US Patent Application 12/605245; 20110099295
Leveraging latency-insensitivity to ease multiple FPGA design
conference, January 2012
- Fleming, Kermin Elliott; Adler, Michael; Pellauer, Michael
- Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays - FPGA '12
Multi-Level Table Grouping
patent-application, November 2017
- Boucher, Melissa Ming-Sak; Britton, Jeremy Edward; Bayes, Luke
- US Patent Application 15/499892; 20170315978
Automated Dependency analyzer for Heterogeneously Programmed Data Processing System
patent-application, November 2018
- Berg, Christophe; Clemens, David
- US Patent Application 15/601911; 20180336020
A 167-Processor Computational Platform in 65 nm CMOS
journal, April 2009
- Truong, Dean N.; Cheng, Wayne H.; Mohsenin, Tinoosh
- IEEE Journal of Solid-State Circuits, Vol. 44, Issue 4
Variable-Cycle, Event-Driven Multi-Execution Flash Processor
patent-application, January 2011
- Hassan, Khursheed
- US Patent Application 12/826949; 20110004742
Apparatus, Method, and System for Instantaneous Cache State Recovery form Speculative Abort Commit
patent-application, June 2012
- Nimmala, Prashanth; Bonakdar, Hamid-Reza S.
- US Patent Application 12/961767; 20120144126
Techniques to Enable Communication Between a Processor and Voltage Regulator
patent-application, March 2018
- Suryanarayanan, Anupama; Ananthakrishnan, Avinash; Ashok, Chinmay
- US Patent Application 15/279744; 20180088647
Eyeriss: A Spatial Architecture for Energy-Efficient Dataflow for Convolutional Neural Networks
conference, June 2016
- Chen, Yu-Hsin; Emer, Joel; Sze, Vivienne
- 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA)
Method for unit distance encoding of asynchronous pointers for non-power-of-two sized buffers
patent, April 2003
- Mannion, David
- US Patent Document 6,553,448
The Raw microprocessor: a computational fabric for software circuits and general-purpose programs
journal, March 2002
- Taylor, M. B.; Kim, J.; Miller, J.
- IEEE Micro, Vol. 22, Issue 2
Hardware for machine learning: Challenges and opportunities
conference, April 2017
- Sze, Vivienne; Chen, Yu-Hsin; Emer, Joel
- 2017 IEEE Custom Integrated Circuits Conference (CICC)
Distributed signal processing for data channels maintaining channel bandwidth
patent, September 1998
- Chau, Wing Cheong; Leu, Dar-Ren
- US Patent Document 5,805,827
System and method for removing retired entries from a command buffer using tag information
patent-application, October 2006
- Asano, Shigehiro; Ishii, Tsutomu
- US Patent Application 11/106791; 20060236008
Complex Matrix Multiplication Operations with Data Pre-Conditioning in a High Performance Computing Architecture
patent-application, February 2011
- Eichenberger, Alexandre E.; Gschwind, Michael K.; Gunnels, John A.
- US Patent Application 12/542324; 20110040822
Low Power Clock Gating Circuit
patent-application, March 2019
- Venugopal, Vivekanandan; Seningen, Michael R.; Bhatia, Ajay
- US Patent Application 15/710406; 20190089354
Storage apparatus and load distribution method
patent-application, July 2008
- Watanabe, Koji; Sugimoto, Sadahiro
- US Patent Application 12/003994; 20080184255
Heterogeneous Hardware Accelerator Architecture for Processing Sparse Matrix Data with Skewed Non-zero Distributions
patent-application, July 2018
- Nurvitadhi, Eriko; Marr, Deborah
- US Patent Application 15/396513; 20180189239
Theory of latency-insensitive design
journal, January 2001
- Carloni, L. P.; McMillan, K. L.; Sangiovanni-Vincentelli, A. L.
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, Issue 9
The WaveScalar architecture
journal, May 2007
- Swanson, Steven; Schwerin, Andrew; Mercaldi, Martha
- ACM Transactions on Computer Systems, Vol. 25, Issue 2
Method and system for scheduling in an adaptable computing engine
patent-application, December 2002
- Hogenauer, Eugene B.
- US Patent Application 09/872397; 20020184291
Data flow machine
patent-application, May 2006
- Mohl, Stefan; Borg, Pontus
- US Patent Application 11/227997; 20060101237
Programmable logic integrated circuit for digital algorithmic functions
patent-application, September 2008
- Arriens, Dean J.; Short, Paul
- US Patent Application 12/004767; 20080218203
Loop execution with predicate computing for dataflow machines
patent, July 2019
- Zhang, Yongzhi; Glossop, Kent D.
- US Patent Document 10,346,145
Persistent Memory Replication in RDMA-Capable Networks
patent-application, November 2018
- Chernin, Leonid; Gan-Kevi, Daniel; Garmiza, Ehood
- US Patent Application 15/582027; 20180316760
Low power arbiters in interconnection routers
patent-application, April 2007
- Mukherjee, Shubhendu S.
- US Patent Application 11/241623; 20070079036
Delayed-start method for minimizing internal switch congestion
patent, March 2011
- Aydemir, Metin; Heddes, Marco C.; Jeffries, Clark Debs
- US Patent Document 7,911,960
Loop Execution with Predicate Computing for Dataflow Machines
patent-application, December 2018
- Zhang, Yongzhi; Glossop, Kent D.
- US Patent Application 15/632123; 20180373509
Array Type Processor and Data Processing System
patent-application, December 2009
- Inuo, Takeshi
- US Patent Application 12/448809; 20090300324
Asim: a performance model framework
journal, January 2002
- Emer, J.; Ahuja, P.; Borch, E.
- Computer, Vol. 35, Issue 2
Content service aggregation system
patent-application, July 2003
- Bryers, Mark; Ganesan, Elango; Gruner, Frederick
- US Patent Application 10/191742; 20030126233
Distributed data-parallel execution engines for user-defined serial problems using branch-and-bound algorithm
patent, October 2015
- Delling, Daniel; Budiu, Mihai; Werneck, Renato F.
- US Patent Document 9,170,846
Memory read/write reordering
patent-application, September 2003
- Sah, Suneeta; Kulick, Stanley S.; Udompanyanan, Varin
- US Patent Application 10/358745; 20030177320
Method and system for digital signal processing in an adaptive computing engine
patent-application, February 2003
- Hogenauer, Eugene B.
- US Patent Application 09/916141; 20030028750
Efficient Spatial Processing Element Control via Triggered Instructions
journal, May 2014
- Parashar, Angshuman; Pellauer, Michael; Adler, Michael
- IEEE Micro, Vol. 34, Issue 3
A method to estimate the energy consumption of deep neural networks
conference, October 2017
- Yang, Tien-Ju; Chen, Yu-Hsin; Emer, Joel
- 2017 51st Asilomar Conference on Signals, Systems, and Computers
Booth multiplier with low power, high performance input circuitry
patent, May 2002
- Chu, Tam-Anh
- US Patent Document 6,393,454
Graph Database System that Dynamically Compiles and Executes Custom Graph Analytic Programs Written in High-Level, Imperative Programming Language
patent-application, April 2016
- Schmid, Korbinian; Sevenich, Martin; Hong, Sungpack
- US Patent Application 14/524838; 20160117358
Automated method of architecture mapping selection from constrained high level language description via element characterization
patent, August 2011
- Miller, Ian D.; Janneck, Jorn W.; Parlour, David B.
- US Patent Document 8,001,510
Dynamic reduction of stream backpressure
patent, March 2015
- Branson, Michael J.; Cradick, Ryan K.; Santosuosso, John M.
- US Patent Document 8,990,452
Specialized Memory Disambiguation Mechanisms for Different Memory Read Access Types
patent-application, March 2015
- Olson, Lena E.; Eckert, Yasuko; Manne, Srilatha
- US Patent Application 14/015282; 20150067305
Programmable Fault Protect for Processor Controlled High-Side and Low-Side Drivers
patent-application, June 2013
- Huynh, Steven
- US Patent Application 13/454085; 20130151919
14.5 Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks
conference, January 2016
- Chen, Yu-Hsin; Krishna, Tushar; Emer, Joel
- 2016 IEEE International Solid-State Circuits Conference (ISSCC)
Method and Apparatus for Implementing Digital Logic Circuitry
patent-application, May 2019
- Mohl, Stefan; Borg, Pontus
- US Patent Application 12/083776; 20090119484
Method and apparatus for timing information flow in a distributed system
patent-application, June 2016
- Kwiat, Kevin A.; Ravindran, Kaliappanadar; Sabbir, Ali S.
- US Patent Application 11/268394; 20060130030
Cache usage for concurrent multiple streams
patent-application, February 2004
- Radhakrishnan, Sivakumar; Natarajan, Chitra; Creta, Kenneth C.
- US Patent Application 10/358618; 20040022094
Runtime Address Disambiguation in Acceleration Hardware
patent-application, July 2013
- Fleming, JR., Kermin Elliot; Steely, JR., Simon C.; Glossop, Kent D.
- US Patent Application 15/396049; 20180188983
Efficient Processing of Deep Neural Networks: A Tutorial and Survey
journal, December 2017
- Sze, Vivienne; Chen, Yu-Hsin; Yang, Tien-Ju
- Proceedings of the IEEE, Vol. 105, Issue 12
Dynamically Configurable Placement Engine
patent-application, June 2013
- Fawcett, Bradley W.
- US Patent Application 13/308800; 20130145203
Dynamic Runtime Choosing of Processing Communication Methods
patent-application, March 2013
- Cradick, Ryan K.; Santosuosso, John M.; Schulz, Brandon W.
- US Patent Application 13/681820; 20130080652
Scheduling of data migration
patent, March 2017
- Blagodurov, Sergey; Kegel, Andrew G.
- US Patent Document 9,594,521
Electronic Apparatus and Control Method Thereof
patent-application, September 2017
- Lee, Ki-hun; Hur, Jong-oh; Kim, Ji-Hoon
- US Patent Application 15/440283; 20170262383
Method for burning MAC address
patent, December 2009
- Li, Tao; Wu, Chun-Yang
- US Patent Document 7,630,324
Increasing buffer locality during multiple table access operations
patent, August 2011
- Bhattacharjee, Bishwaranjan; Lang, Christian; Malkemus, Timothy R.
- US Patent Document 8,010,766
Memory storage device and memory controller and virus scanning method thereof
patent, October 2013
- Lee, Chien-Fu
- US Patent Document 8,561,194
High-performance hybrid processor with configurable execution units
patent-application, July 2005
- Wang, Albert; Rowen, Christopher; Rosenthal, Bernard
- US Patent Application 10/120849; 20050166038
Floating-point processor with reduced power requirements for selectable subprecision
patent-application, August 2007
- Docker, Kenneth Alan
- US Patent Application 11/363118; 20070203967
Processors, Methods, and Systems for a Configurable Spatial Accelerator with Performance Correctness, and Power Reduction Features
patent-application, January 2019
- Fleming, Kermin; Glossop, Kent D.; Steely, JR., Simon C.
- US Patent Application 15/640535; 20190005161
Eyeriss: a spatial architecture for energy-efficient dataflow for convolutional neural networks
journal, October 2016
- Chen, Yu-Hsin; Emer, Joel; Sze, Vivienne
- ACM SIGARCH Computer Architecture News, Vol. 44, Issue 3
Accumulator shadow register systems and methods
patent-application, June 2005
- Snyder, Walter Lee
- US Patent Application 10/739419; 20050138323
Parallel processing using multi-core processor
patent, December 2013
- Finney, Damon W.; Mathur, Ashok
- US Patent Document 8,619,800
Processors and Methods for Privileged Configuration in a Spatial Array
patent-application, April 2019
- Fleming, Kermin E.; Steely, Simon C.; Glossop, Kent D.
- US Patent Application 15/721809; 20190102179
Runtime address disambiguation in acceleration hardware
patent, November 2019
- Fleming, Kermin; Steely, Jr., Simon C.; Glossop, Kent D.
- US Patent Document 10,474,375
Graph database system that dynamically compiles and executes custom graph analytic programs written in high-level, imperative programming language
patent, March 2018
- Schmid, Korbinian; Sevenich, Martin; Hong, Sungpack
- US Patent Document 9,916,187
Shared memory apparatus and method for multiprocessor systems
patent-application, February 2002
- Scardamalia, Threodore G.
- US Patent Application 09/854351; 20020026493
Dependent Instruction Suppression
patent-application, December 2014
- Spadini, Francesco; Achenbach, Michael
- US Patent Application 13/926193; 20140380024
Data Processing System with Data Characteristics Based Identification of Corresponding Instructions
patent-application, April 2014
- Bodine, Gregory Lewis
- US Patent Application 13/658372; 20140115300
Memory transaction having implicit ordering effects
patent, July 2017
- Cain, III, Harold W.; Ekanadham, Kattamuri; Michael, Maged M.
- US Patent Document 9,696,928
Instruction length based cracking for instruction of variable length storage operands
patent, July 2013
- Busaba, Fadi Y.; Curran, Brian W.; Giamei, Bruce C.
- US Patent Document 8,495,341
Methods and apparatus for interfacing between a host processor and a coprocessor
patent-application, June 2008
- Garg, Sachin; Krivacek, Paul D.
- US Patent Application 11/542092; 20080155135
Shared Resource Multi-Thread Processor Array
patent-application, April 2012
- Smith, Graeme Roy
- US Patent Application 13/377428; 20120089812
Low Energy Consumption Mantissa Multiplication for Floating Point Multiply-Add Operations
patent-application, April 2018
- Hasenplaugh, William C.; Fleming, JR., Kermin E.; Fossum, Tryggve
- US Patent Application 15/283295; 20180095728
Automatically Mapping Program Functions to Distributed Heterogeneous Platforms Based on Hardware Attributes and Specified Constraints
patent-application, October 2017
- Ravindran, Kaushik; Andrade, Hugo A.; Prasad, Ankita
- US Patent Application 15/470374; 20170286169
Apparatus and Method for Transmitting and Receiving Multimedia Data by Using NFC
patent-application, August 2018
- Lee, Kwang-Yong; Hong, Hyun-Su; Park, Jung-A
- US Patent Application 15/966656; 20180248994
Processors and Methods with Configurable Network-Based Operator Circuits
patent-application, January 2019
- Fleming, Kermin; Glossop, Kent D>; Steely, JR., Simon C.
- US Patent Application 15/640540; 20190007332
Bus structure for multiprocessor system having separated processor section and control/memory section
patent, December 1996
- Katsuki, Kazuo; Sauer, Donald J.; Chin, Danny
- US Patent Document 5,581,767
Apparatus and Method for Block-Based Data Striping to Solid State Memory Modules with Optional Data Format Protocol Translation
patent-application, September 2010
- McDaniel, Ryan Cartland
- US Patent Application 12/702998; 20100228885
Method and system for converting a single-threaded software program into an application-specific supercomputer
patent, February 2015
- Ebcioglu, Kemal; Kultursay, Emre; Kandemir, Mahmut Taylan
- US Patent Document 8,966,457
Maximal flow scheduling for a stream processing system
patent-application, December 2007
- Amini, Lisa D.; Sehgal, Anshul; Silber, Jeremy L.
- US Patent Application 11/451775; 20070299980
Symbolic Analyses of Dataflow Graphs
journal, March 2017
- Bouakaz, Adnan; Fradet, Pascal; Girault, Alain
- ACM Transactions on Design Automation of Electronic Systems, Vol. 22, Issue 2
Modifying Commands
patent-application, July 2010
- Asnaashari, Mehdi
- US Patent Application 12/351206; 20100180105
Embedded System Performance
patent-application, March 2013
- Stravers, Paul; Van Eijndhoven, Jos; Rutten, Martijn
- US Patent Application 13/641830; 20130080993
Designing Energy-Efficient Convolutional Neural Networks Using Energy-Aware Pruning
conference, July 2017
- Yang, Tien-Ju; Chen, Yu-Hsin; Sze, Vivienne
- 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR)
Distributed Memory Operations
patent-application, March 2015
- Ahsan, Bushra; Adler, Michael C.; Crago, Neal C.
- US Patent Application 14/037468; 20150089162
Memory Controllers, Memory Systems, Solid State Devices and Methods for Processing a Number of Commands
patent-application, December 2012
- Asnaashari, Mehdi; Liao, Yu-Song; Yang, Jui-Yao
- US Patent Application 13/599594; 20120324180
Cache memory allocation method
patent, February 2006
- Aisaka, Kazuo; Toyama, Keisuke
- US Patent Document 7,000,072
Scaling to the end of silicon with EDGE architectures
journal, July 2004
- Burger, D.; Keckler, S. W.; McKinley, K. S.
- Computer, Vol. 37, Issue 7
Page table walker that uses at least one of a default page size and a page size selected for a virtual address space to position a sliding field in a virtual address
patent, July 2000
- Yamada, Koichi; Hammond, Gary N.; Hays, Jim
- US Patent Document 6,088,780
A Characterization of Processor Performance in the vax-11/780
conference, January 1984
- Emer, Joel S.; Clark, Douglas W.
- Proceedings of the 11th annual international symposium on Computer architecture - ISCA '84
Execution of Data-Parallel Programs on Coarse-Grained Reconfigurable Architecture Hardware
patent-application, September 2015
- Etsion, Yoav; Voitsechov, Dani
- US Patent Application 14/642780; 20150268963
Processor architecture
patent-application, April 2005
- Claydon, Anthony Peter John
- US Patent Application 10/450615; 20050076187
Reconfigurable Processor and Reconfiguration Method Executed by the Reconfigurable Processor
patent-application, August 2007
- Aizawa, Eiji
- US Patent Application 11/622738; 20070180315
Data Processing Architectures for Packet Handling
patent-application, April 2011
- Rhoades, John; Cameron, Ken; Winser, Paul
- US Patent Application 12/965673; 20110083000
Processors, Methods, and Systems with a Configurable Spatial Accelerator
patent-application, July 2018
- Fleming, Kermin; Glossop, Kent D.; Steely, JR., Simon C.
- US Patent Application 15/396395; 20180189063
Method and apparatus for facilitating a fair access to a channel by participating members of a group communication system
patent-application, January 2004
- Dorenbosch, Jheroen P.; Bennett, Rick
- US Patent Application 10/183201; 20040001458
Method, Device and System for Control Signaling in a Data Path Module of a Data Stream Processing Engine
patent-application, May 2019
- Ivanov, Vladimir
- US Patent Application 16/221379; 20190129720
Method and system for encoding instructions for a VLIW that reduces instruction memory requirements
patent-application, January 2003
- Hogenauer, Eugene B.
- US Patent Application 09/916142; 20030023830
Event System And Methods For Using Same
patent-application, January 2013
- Wang, Yilin; Liu, Zheng
- US Patent Application 13/556057; 20130024875
Fast Pattern Matching in Strings
journal, June 1977
- Knuth, Donald E.; Morris, Jr., James H.; Pratt, Vaughan R.
- SIAM Journal on Computing, Vol. 6, Issue 2
System-On-A-Chip Having an Array of Programmable Processing Elements Linked By an On-Chip Network with Distributed On-Chip Shared Memory and External Shared Memory
patent-application, July 2010
- Heddes, Marco; Ravasi, Masssimo; Malik, Rakesh Kumar
- US Patent Application 12/639325; 20100191911
Clocked ports
patent-application, October 2008
- May, Michael David; Hedinger, Peter; Dixon, Alastair
- US Patent Application 11/785345; 20080263330
Configure Storage Class Memory Command
patent-application, December 2012
- Driever, Peter D.; Gainey, JR., Charles W.; Glassen, Steven G.
- US Patent Application 13/157704; 20120317388
Context-based direct memory access engine for use with a memory system shared by devices associated with multiple input and output ports
patent-application, October 2005
- Goekjian, Kenneth S.; Cacciatore, Raymond D.
- US Patent Application 10/817207; 20050223131
Optimizing Partial Reconfiguration of Multi-context Architectures
conference, December 2008
- Eisenhardt, Sven; Oppold, Tobias; Schweizer, Thomas
- 2008 International Conference on Reconfigurable Computing and FPGAs
Method and apparatus for vector execution on a scalar machine
patent, January 2015
- Colavin, Osvaldo; Rizzo, Davide; Soni, Vineet
- US Patent Document 8,935,515
Secure migratable architecture having high availability
patent, September 2017
- Beale, Andrew Ward; Strong, David
- US Patent Document 9,760,291