DOE Patents title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Gallium beam lithography for superconductive structure formation

Abstract

The present invention relates to the use of gallium beam lithography to form superconductive structures. Generally, the method includes exposing a surface to gallium to form an implanted region and then removing material adjacent to and/or below that implanted region. In particular embodiments, the methods herein provide microstructures and nanostructures in any useful substrate, such as those including niobium, tantalum, tungsten, or titanium.

Inventors:
;
Issue Date:
Research Org.:
Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)
Sponsoring Org.:
USDOE
OSTI Identifier:
1418806
Patent Number(s):
9882113
Application Number:
14/742,505
Assignee:
National Technology & Engineering Solutions of Sandia, LLC (Albuquerque, NM)
Patent Classifications (CPCs):
H - ELECTRICITY H01 - BASIC ELECTRIC ELEMENTS H01B - CABLES
H - ELECTRICITY H01 - BASIC ELECTRIC ELEMENTS H01J - ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
DOE Contract Number:  
AC04-94AL85000
Resource Type:
Patent
Resource Relation:
Patent File Date: 2015 Jun 17
Country of Publication:
United States
Language:
English
Subject:
36 MATERIALS SCIENCE

Citation Formats

Henry, Michael David, and Lewis, Rupert M. Gallium beam lithography for superconductive structure formation. United States: N. p., 2018. Web.
Henry, Michael David, & Lewis, Rupert M. Gallium beam lithography for superconductive structure formation. United States.
Henry, Michael David, and Lewis, Rupert M. Tue . "Gallium beam lithography for superconductive structure formation". United States. https://www.osti.gov/servlets/purl/1418806.
@article{osti_1418806,
title = {Gallium beam lithography for superconductive structure formation},
author = {Henry, Michael David and Lewis, Rupert M.},
abstractNote = {The present invention relates to the use of gallium beam lithography to form superconductive structures. Generally, the method includes exposing a surface to gallium to form an implanted region and then removing material adjacent to and/or below that implanted region. In particular embodiments, the methods herein provide microstructures and nanostructures in any useful substrate, such as those including niobium, tantalum, tungsten, or titanium.},
doi = {},
journal = {},
number = ,
volume = ,
place = {United States},
year = {Tue Jan 30 00:00:00 EST 2018},
month = {Tue Jan 30 00:00:00 EST 2018}
}

Works referenced in this record:

Direct write patterning of titanium films using focused ion beam implantation and plasma etching
journal, February 1997


Characterization of Si nanowires fabricated by Ga+ FIB implantation and subsequent selective wet etching
journal, August 2011


Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures
journal, August 2002


Low‐temperature reactive ion etching and microwave plasma etching of silicon
journal, February 1988


Hermetic wafer-level packaging for RF MEMs: Effects on resonator performance
conference, May 2012


The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching
journal, January 2009


Stress dependent oxidation of sputtered niobium and effects on superconductivity
journal, February 2014


Fabrication of mesoscopic superconducting Nb wires using conventional electron-beam lithographic techniques
journal, January 2002

  • Kim, Nam; Hansen, Klavs; Toppari, Jussi
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 20, Issue 1, Article No. 386
  • https://doi.org/10.1116/1.1445168

Silicon nanowire pirani sensor fabricated using FIB lithography
conference, June 2013


Deep sub-micron stud-via technology of superconductor VLSI circuits
journal, January 2014


Nonlinearities and parametric amplification in superconducting coplanar waveguide resonators
journal, June 2007


Ga+ beam lithography for nanoscale silicon reactive ion etching
journal, May 2010


Nanoelectromechanical systems
journal, June 2005


Refilling method by ion beam, instrument for fabrication and observation by ion beam, and manufacturing method of electron device
patent-application, October 2003


Silicon nanowire based Pirani sensor for vacuum measurements
journal, October 2012


Etch Rate Retardation of Ga + -Ion Beam-Irradiated Silicon
journal, January 2005


Ga lithography in sputtered niobium for superconductive micro and nanowires
journal, August 2014


Microfabricated thermal absolute-pressure sensor with on-chip digital front-end processor
journal, January 1991


Niobium-based superconducting nano-device fabrication using all-metal suspended masks
journal, August 2013


Superconducting transition in Nb nanowires fabricated using focused ion beam
journal, October 2009


FIB processing of silicon in the nanoscale regime
journal, March 2003


ICP Etching of Silicon for Micro and Nanoscale Devices
text, January 2010


Optomechanical crystals
journal, October 2009


Methods for designing, fabricating, and predicting shape formations in a material
patent, October 2013


The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching
journal, March 2010


Method for fabricating micro and nanostructures in a material
patent, October 2013


Ga+ beam lithography for suspended lateral beams and nanowires
journal, November 2010

  • Henry, M. David; Shearn, Michael; Scherer, Axel
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 6, p. C6F26-C6F30
  • https://doi.org/10.1116/1.3497013

Amorphous Nb-Si Barrier Junctions for Voltage Standard and Digital Applications
journal, June 2009


Writing FIB implantation and subsequent anisotropic wet chemical etching for fabrication of 3D structures in silicon
journal, June 1997


Josephson junction simulation of neurons
journal, July 2010


Evaluation of resistless Ga + beam lithography for UV NIL stamp fabrication
journal, August 2013


Method and apparatus for controlling topological variation on a milled cross-section of a structure
patent-application, May 2005


SiOxFy passivation layer in silicon cryoetching
journal, November 2005


Fabrication of Nb/AlO/sub x//Nb tunnel junctions using focused ion beam implanted Nb patterning (FINP) technique
journal, March 1993


Materials for superconducting nanowires for quantum phase-slip devices
journal, March 2011


Recent developments in micromilling using focused ion beam technology
journal, January 2004


Ultra-low-power superconductor logic
journal, May 2011


Processing of silicon nanostructures by Ga+ resistless lithography and reactive ion etching
journal, October 2013


Transport in superconducting niobium films for radio frequency applications
journal, April 2005


Fabrication of submicron Nb/AlO/sub x/-Al/Nb tunnel junctions using focused ion beam implanted Nb patterning (FINP) technique
journal, June 1995


Exploration of the ultimate patterning potential achievable with high resolution focused ion beams
journal, January 2005


Fabrication of Si microstructures using focused ion beam implantation and reactive ion etching
journal, January 2008