skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Dissipative particle dynamics for directed self-assembly of block copolymers

Journal Article · · Journal of Chemical Physics
DOI:https://doi.org/10.1063/1.5117839· OSTI ID:1802108

The dissipative particle dynamics (DPD) simulation method has been shown to be a promising tool to study self-assembly of soft matter systems. In particular, it has been used to study block copolymer (BCP) self-assembly. However, previous parameterizations of this model are not able to capture most of the rich phase behaviors of BCPs in thin films nor in directed self-assembly (chemoepitaxy or graphoepitaxy). Here, we extend the applicability of the DPD method for BCPs to make it applicable to thin films and directed self-assembly. Our new reparameterization not only is able to reproduce the bulk phase behavior but also manages to predict thin film structures obtained experimentally from chemoepitaxy or graphoepitaxy. A number of different complex structures, such as bilayer nanomeshes, 90° bend structures, circular cylinders/lamellae and Frank-Kasper phases directed by trenches, and post arrays or chemically patterned substrates, have all been reproduced in this work. This reparameterized DPD model should serves as a powerful tool to predict BCP self-assembly, especially in some complex systems where it is difficult to implement self-consistent field theory.

Research Organization:
Massachusetts Inst. of Technology (MIT), Cambridge, MA (United States)
Sponsoring Organization:
USDOE Office of Science (SC), Basic Energy Sciences (BES)
Grant/Contract Number:
SC0010428; ER46919
OSTI ID:
1802108
Alternate ID(s):
OSTI ID: 1570867
Journal Information:
Journal of Chemical Physics, Vol. 151, Issue 15; ISSN 0021-9606
Publisher:
American Institute of Physics (AIP)Copyright Statement
Country of Publication:
United States
Language:
English
Citation Metrics:
Cited by: 18 works
Citation information provided by
Web of Science

References (57)

Guiding Polymers to Perfection:  Macroscopic Alignment of Nanoscale Domains journal February 2004
Field-theoretic simulations of polymer solutions: Finite-size and discretization effects journal January 2005
Substrate-Induced Phase Transitions in Thin Films of Cylinder-Forming Diblock Copolymer Melts journal May 2006
Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films journal June 2012
Experimental Formulation of Photonic Crystal Properties for Hierarchically Self-Assembled POSS–Bottlebrush Block Copolymers journal April 2018
Optimizing Topographical Templates for Directed Self-Assembly of Block Copolymers via Inverse Design Simulations journal December 2013
Molecular pathways for defect annihilation in directed self-assembly journal October 2015
Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae journal July 2017
Emergent symmetries in block copolymer epitaxy journal July 2019
Interpolation in the Directed Assembly of Block Copolymers on Nanopatterned Substrates: Simulation and Experiments journal April 2010
Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat journal March 2017
Theoretically informed coarse grain simulations of polymeric systems journal August 2009
Dissipative particle dynamics: Bridging the gap between atomistic and mesoscopic simulation journal September 1997
Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates journal July 2003
Nanometer-Scale Pattern Registration and Alignment by Directed Diblock Copolymer Self-Assembly journal September 2004
Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly journal August 2008
Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene−Polydimethylsiloxane Block Copolymer journal July 2007
Field-Theoretic Computer Simulation Methods for Polymers and Complex Fluids journal January 2002
Sub-10 nm Features Obtained from Directed Self-Assembly of Semicrystalline Polycarbosilane-Based Block Copolymer Thin Films journal November 2014
Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures journal June 2005
Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly
  • Tsai, Hsin-Yu; Miyazoe, Hiroyuki; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 30, Issue 6 https://doi.org/10.1116/1.4767237
journal November 2012
Development of plasma etching processes to pattern sub-15 nm features with PS- b -PMMA block copolymer masks: Application to advanced CMOS technology
  • Delalande, Michaël; Cunge, Gilles; Chevolleau, Thierry
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 32, Issue 5 https://doi.org/10.1116/1.4895334
journal September 2014
Application of Bottlebrush Block Copolymers as Photonic Crystals journal May 2017
Hierarchical patterns of three-dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly journal August 2013
Numerical Solution of Polymer Self-Consistent Field Theory journal January 2004
Three-dimensional inverse design of nanopatterns with block copolymers and homopolymers journal January 2016
Mesophase Separation of Diblock Copolymer Confined in a Cylindrical Tube Studied by Dissipative Particle Dynamics journal November 2006
Block Copolymers—Designer Soft Materials journal February 1999
Morphological Evolution of Block Copolymer Particles: Effect of Solvent Evaporation Rate on Particle Shape and Morphology journal February 2017
Photonic Block Copolymer Films Swollen with an Ionic Liquid journal June 2014
Nanostructure engineering by templated self-assembly of block copolymers journal October 2004
Graphoepitaxy of Self-Assembled Block Copolymers on Two-Dimensional Periodic Patterned Templates journal August 2008
Combining Graphoepitaxy and Electric Fields toward Uniaxial Alignment of Solvent-Annealed Polystyrene– b –Poly(dimethylsiloxane) Block Copolymers journal September 2015
Continuous polydispersity in a self-consistent field theory for diblock copolymers journal September 2004
Nanoscale spirals by directed self-assembly journal April 2017
Bending Soft Block Copolymer Nanostructures by Lithographically Directed Assembly journal April 2006
Polyisoprene-Polystyrene Diblock Copolymer Phase Diagram near the Order-Disorder Transition journal December 1995
Macroscopic 10-Terabit-per-Square-Inch Arrays from Block Copolymers with Lateral Order journal February 2009
Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries journal October 2007
Diblock Copolymer Thin Films:  A Field-Theoretic Simulation Study journal May 2007
Design rules for self-assembled block copolymer patterns using tiled templates journal February 2014
Three-dimensional Directed Assembly of Block Copolymers together with Two-dimensional Square and Rectangular Nanolithography journal July 2011
Self-Assembly of Symmetric Diblock Copolymers in Planar Slits with and without Nanopatterns: Insight from Dissipative Particle Dynamics Simulations journal March 2010
SCFT Simulations of Thin Film Blends of Block Copolymer and Homopolymer Laterally Confined in a Square Well journal August 2009
Simultaneous fabrication of line and dot dual nanopatterns using miktoarm block copolymer with photocleavable linker journal November 2017
Dynamic simulation of diblock copolymer microphase separation journal May 1998
Phase Diagram of Diblock Copolymers Confined in Thin Films journal April 2013
Multilayer block copolymer meshes by orthogonal self-assembly journal January 2016
Well-Ordered Thin-Film Nanopore Arrays Formed Using a Block-Copolymer Template journal July 2009
Directed self-assembly of a two-state block copolymer system journal September 2018
Sub-5 nm Domains in Ordered Poly(cyclohexylethylene)- block -poly(methyl methacrylate) Block Polymers for Lithography journal February 2014
Arbitrary lattice symmetries via block copolymer nanomeshes journal June 2015
Complex self-assembled patterns using sparse commensurate templates with locally varying motifs journal March 2010
Monte Carlo Simulation of Coarse Grain Polymeric Systems journal May 2009
Wafer-Scale Ni Imprint Stamps for Porous Alumina Membranes Based on Interference Lithography journal August 2006
Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates journal September 2015
Free Energy of Defects in Ordered Assemblies of Block Copolymer Domains journal February 2012

Similar Records

Emergent symmetries in block copolymer epitaxy
Journal Article · Fri Jul 05 00:00:00 EDT 2019 · Nature Communications · OSTI ID:1802108

Engineering the Kinetics of Directed Self-Assembly of Block Copolymers toward Fast and Defect-Free Assembly
Journal Article · Thu Jun 07 00:00:00 EDT 2018 · ACS Applied Materials and Interfaces · OSTI ID:1802108

Three-dimensional electroactive ZnO nanomesh directly derived from hierarchically self-assembled block copolymer thin films
Journal Article · Mon Apr 22 00:00:00 EDT 2019 · Nanoscale · OSTI ID:1802108