skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Thermal chemistry of the Cu-KI5 atomic layer deposition precursor on a copper surface

Journal Article · · Journal of Vacuum Science and Technology A
DOI:https://doi.org/10.1116/1.4896940· OSTI ID:1420484
 [1];  [1]
  1. Department of Chemistry, University of California, Riverside, California 92521

Sponsoring Organization:
USDOE
Grant/Contract Number:
FG02-03ER46599; SC0001839
OSTI ID:
1420484
Journal Information:
Journal of Vacuum Science and Technology A, Journal Name: Journal of Vacuum Science and Technology A Vol. 33 Journal Issue: 1; ISSN 0734-2101
Publisher:
American Vacuum SocietyCopyright Statement
Country of Publication:
United States
Language:
English
Citation Metrics:
Cited by: 10 works
Citation information provided by
Web of Science

References (47)

Low-Temperature Atomic Layer Deposition of Copper Films Using Borane Dimethylamine as the Reducing Co-reagent journal June 2014
Mechanistic studies of copper thin-film growth from CuI and CuII .beta.-diketonates journal February 1993
Solution Reactions of a Bis(pyrrolylaldiminate)copper(II) Complex with Peralkyl Zinc, Aluminum, and Boron Reagents: Investigation of the Pathways Responsible for Copper Metal Deposition journal August 2010
Thermal desorption of gases journal July 1962
Surface Chemistry of Pentakis(dimethylamido)tantalum on Ta Surfaces journal April 2011
Copper(I), silver(I) and gold(I) carboxylate complexes as precursors in chemical vapour deposition of thin metallic films journal November 2005
Chemical Nature of the Thin Films that Form on SiO 2 /Si(100) Surfaces Upon Manganese Deposition journal September 2011
Surface Chemistry of Copper(I) Acetamidinates in Connection with Atomic Layer Deposition (ALD) Processes journal July 2011
Mechanistic details of atomic layer deposition (ALD) processes for metal nitride film growth journal February 2008
Low-Temperature Atomic Layer Deposition of Copper Metal Thin Films: Self-Limiting Surface Reaction of Copper Dimethylamino-2-propoxide with Diethylzinc journal June 2009
Advances in copper CVD for the semiconductor industry journal August 2001
The surface chemistry of thin film atomic layer deposition (ALD) processes for electronic device manufacturing journal January 2008
ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems journal May 2011
Evaluation of (hfac)Cu(MHY) for Cu CVD journal October 2002
Activation of Metal–Organic Precursors by Electron Bombardment in the Gas Phase for Enhanced Deposition of Solid Films journal August 2012
Novel materials by atomic layer deposition and molecular layer deposition journal November 2011
Mechanisms of Atomic Layer Deposition on Substrates with Ultrahigh Aspect Ratios journal February 2008
Chemical Vapor Deposition of Manganese Metallic Films on Silicon Oxide Substrates journal October 2012
Mechanisms of surface reactions in thin solid film chemical deposition processes journal December 2013
Copper Metallization for High Performance Silicon Technology journal August 2000
Multinuclear Copper(I) Guanidinate Complexes journal December 2011
New Precursors for Copper ALD journal July 2007
Investigation of AlMe3, BEt3, and ZnEt2 as Co-Reagents for Low-Temperature Copper Metal ALD/Pulsed-CVD journal August 2010
Mechanistic Details of Atomic Layer Deposition (ALD) Processes journal September 2007
The application of atomic layer deposition for metallization of 65 nm and beyond journal February 2006
A Study on the Metal Organic CVD of Pure Copper Films from Low Cost Copper(II) Dialkylamino-2-propoxides: Tuning the Thermal Properties of the Precursor by Small Variations of the Ligand journal June 2003
Chemistry of Cu(acac) 2 on Ni(110) and Cu(110) surfaces: Implications for atomic layer deposition processes journal January 2013
Uptake of Copper Acetamidinate ALD Precursors on Nickel Surfaces journal January 2010
Amidinates, guanidinates and iminopyrrolidinates: Understanding precursor thermolysis to design a better ligand journal December 2013
Thermal chemistry of Mn 2 (CO) 10 during deposition of thin manganese films on silicon oxide and on copper surfaces
  • Qin, Xiangdong; Sun, Huaxing; Zaera, Francisco
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 30, Issue 1 https://doi.org/10.1116/1.3658373
journal January 2012
Copper Iminopyrrolidinates: A Study of Thermal and Surface Chemistry journal January 2013
Remarkably Volatile Copper(II) Complexes of N,N -Unsymmetrically Substituted 1,3-Diketimines as Precursors for Cu Metal Deposition via CVD or ALD journal July 2005
New precursors for CVD copper metallization journal October 2008
Dicopper(I) oxalate complexes as molecular precursors for the deposition of copper compounds journal November 2005
A new metal-organic chemical vapor deposition process for selective copper metallization journal February 1993
Precursors and chemistry for the atomic layer deposition of metallic first row transition metal films journal December 2013
Low Temperature Growth of High Purity, Low Resistivity Copper Films by Atomic Layer Deposition journal October 2011
Thermal chemistry of copper(I)- N,N ′ -di- sec -butylacetamidinate on Cu(110) single-crystal surfaces
  • Ma, Qiang; Zaera, Francisco; Gordon, Roy G.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 30, Issue 1 https://doi.org/10.1116/1.3658381
journal January 2012
Atomic layer deposition of transition metals journal October 2003
Atomic Layer Deposition: An Overview journal January 2010
The thermal chemistry of ammonia on Ni(110) journal September 1999
Industrial Applications of Atomic Layer Deposition journal September 2009
The Surface Chemistry of Atomic Layer Depositions of Solid Thin Films journal May 2012
Dissociation reactions of CuI(hfac)L compounds relevant to the chemical vapor deposition of copper journal January 2003
Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO[sub 2] journal January 2009
Thermal Chemistry of Cu(I)-Iminopyrrolidinate and Cu(I)-Guanidinate Atomic Layer Deposition (ALD) Precursors on Ni(110) Single-Crystal Surfaces journal August 2013
Precursor design and reaction mechanisms for the atomic layer deposition of metal films journal December 2013

Similar Records

Thermal chemistry of copper acetamidinate atomic layer deposition precursors on silicon oxide surfaces studied by XPS
Journal Article · Mon Aug 03 00:00:00 EDT 2015 · Journal of Vacuum Science and Technology A · OSTI ID:1420484

Effect of the nature of the substrate on the surface chemistry of atomic layer deposition precursors
Journal Article · Tue Nov 01 00:00:00 EDT 2016 · Journal of Chemical Physics · OSTI ID:1420484

Activation of the dimers and tetramers of metal amidinate atomic layer deposition precursors upon adsorption on silicon oxide surfaces
Journal Article · Sun Jan 01 00:00:00 EST 2017 · Journal of Vacuum Science and Technology A · OSTI ID:1420484

Related Subjects