skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Characterizing Fluorocarbon Assisted Atomic Layer Etching of Si Using Cyclic Ar/C4F8 and Ar/CHF3 Plasma

Journal Article · · Journal of Chemical Physics
DOI:https://doi.org/10.1063/1.4961458· OSTI ID:1294595
 [1];  [2];  [3];  [3];  [3];  [1]
  1. Univ. of Maryland, College Park, MD (United States). Inst. for Electronics and Applied Physics, Dept. of Material Science and Engineering
  2. Univ. of Maryland, College Park, MD (United States). Inst. for Electronics and Applied Physics, Dept. of Physics
  3. IBM, Yorktown Heights, NY (United States). Thomas J. Watson Research Center

With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching (ALE) processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C4F8 and CHF3), and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J Vac Sci Technol A 32, 020603 (2014), and D. Metzler et al., J Vac Sci Technol A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO2 and Si, but is limited with regard to control over material etching selectivity. Ion energy over the 20 to 30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF3 has a lower FC deposition yield for both SiO2 and Si, and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F8. The thickness of deposited FC layers using CHF3 is found to be greater for Si than for SiO2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a cycle are seen whereas the chemical state of the substrate varies much less. On the other hand, for FC film deposition of 5 Å for each cycle, strong substrate surface chemical changes are seen during an etching cycle. The nature of this cyclic etching with periodic deposition of thin FC films differs significantly from conventional etching with steady-state FC layers since surface conditions change strongly throughout each cycle.

Research Organization:
Univ. of Maryland, College Park, MD (United States)
Sponsoring Organization:
USDOE; National Science Foundation (NSF)
Grant/Contract Number:
SC0001939; CBET-1134273
OSTI ID:
1294595
Alternate ID(s):
OSTI ID: 1322428
Journal Information:
Journal of Chemical Physics, Vol. 146, Issue 5; ISSN 0021-9606
Publisher:
American Institute of Physics (AIP)Copyright Statement
Country of Publication:
United States
Language:
English
Citation Metrics:
Cited by: 29 works
Citation information provided by
Web of Science

References (56)

Atomic Layer Etching at the Tipping Point: An Overview journal January 2015
Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition? journal January 2015
Chemical and physical sputtering of fluorinated silicon journal February 1995
The grand challenges of plasma etching: a manufacturing perspective journal June 2014
Atomic Layer Etching: An Industry Perspective journal January 2015
Application of cyclic fluorocarbon/argon discharges to device patterning
  • Metzler, Dominik; Uppireddi, Kishore; Bruce, Robert L.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1 https://doi.org/10.1116/1.4935460
journal January 2016
Plasma-assisted etching: Ion-assisted surface chemistry journal May 1985
Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4 F 8 and Ar/CHF 3 plasma
  • Metzler, Dominik; Li, Chen; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 1 https://doi.org/10.1116/1.4935462
journal January 2016
Plasma–surface interactions in fluorocarbon etching of silicon dioxide journal May 1991
Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model
  • Kuboi, Nobuyuki; Tatsumi, Tetsuya; Kinoshita, Takashi
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 6 https://doi.org/10.1116/1.4931782
journal November 2015
Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism
  • Schaepkens, M.; Standaert, T. E. F. M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 1 https://doi.org/10.1116/1.582108
journal January 1999
Free Radicals in an Inductively Coupled Etching Plasma journal April 1994
Study of C4F8/N2 and C4F8/Ar/N2 plasmas for highly selective organosilicate glass etching over Si3N4 and SiC journal September 2003
Chemical sputtering of fluorinated silicon journal January 1981
Fluorocarbon high‐density plasmas. II. Silicon dioxide and silicon etching using CF 4 and CHF 3
  • Oehrlein, G. S.; Zhang, Y.; Vender, D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 12, Issue 2 https://doi.org/10.1116/1.578877
journal March 1994
Fluorocarbon high‐density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3
  • Oehrlein, G. S.; Zhang, Y.; Vender, D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 12, Issue 2 https://doi.org/10.1116/1.578876
journal March 1994
Reactive Ion Etching of Silicon and Silicon Dioxide in CF[sub 4] Plasmas Containing H[sub 2] or C[sub 2]F[sub 4] Additives journal January 1991
Molecular layer etching of GaAs journal February 1992
Precise Depth Control of Silicon Etching Using Chlorine Atomic Layer Etching journal January 2005
Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process journal June 2005
Atomic layer deposition (ALD): from precursors to thin film structures journal April 2002
Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
  • Kawakami, Masatoshi; Metzler, Dominik; Li, Chen
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 34, Issue 4 https://doi.org/10.1116/1.4949260
journal May 2016
Fluorocarbon assisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma
  • Metzler, Dominik; Bruce, Robert L.; Engelmann, Sebastian
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 32, Issue 2 https://doi.org/10.1116/1.4843575
journal March 2014
Atomic Layer Deposition Chemistry Recent Developments and Future Challenges journal November 2003
Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor
  • Rueger, N. R.; Beulens, J. J.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 15, Issue 4 https://doi.org/10.1116/1.580655
journal July 1997
Selective etching of SiO2 over polycrystalline silicon using CHF3 in an inductively coupled plasma reactor
  • Rueger, N. R.; Doemling, M. F.; Schaepkens, M.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 5 https://doi.org/10.1116/1.581987
journal September 1999
Plasma-assisted etching mechanisms: The implications of reaction probability and halogen coverage journal September 1985
Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry
  • Weilnboeck, F.; Bruce, R. L.; Engelmann, S.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 5 https://doi.org/10.1116/1.3484249
journal September 2010
High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer
  • Standaert, T. E. F. M.; Schaepkens, M.; Rueger, N. R.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 16, Issue 1 https://doi.org/10.1116/1.580978
journal January 1998
Role of C2F4, CF2, and ions in C4F8∕Ar plasma discharges under active oxide etch conditions in an inductively coupled GEC cell reactor
  • Barela, Marcos J.; Anderson, Harold M.; Oehrlein, Gottlieb S.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 23, Issue 3 https://doi.org/10.1116/1.1874173
journal May 2005
Layer-by-layer etching of Cl-adsorbed silicon surfaces by low energy Ar+ ion irradiation journal February 2002
Overview of atomic layer etching in the semiconductor industry
  • Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 33, Issue 2 https://doi.org/10.1116/1.4913379
journal March 2015
Challenges of Tailoring Surface Chemistry and Plasma/Surface Interactions to Advance Atomic Layer Etching journal January 2015
Mechanistic studies of the initial stages of etching of Si and SiO2 in a CHF3 plasma journal September 1990
Atmospheric pressure plasma treatment of lipopolysaccharide in a controlled environment journal July 2013
Cyclic Etch/Passivation-Deposition as an All-Spatial Concept toward High-Rate Room Temperature Atomic Layer Etching journal January 2015
Etching reactions for silicon with F atoms: Product distributions and ion enhancement mechanisms journal March 1991
Surface science aspects of etching reactions journal January 1992
Molecular dynamics simulations of Si etching by energetic CF3+ journal December 1999
Plasma etching of Si and SiO 2 —The effect of oxygen additions to CF 4 plasmas journal July 1978
Structural and electrical characterization of HBr/O 2 plasma damage to Si substrate
  • Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 29, Issue 4 https://doi.org/10.1116/1.3596606
journal July 2011
Plasma-surface interactions of model polymers for advanced photoresists using C[sub 4]F[sub 8]∕Ar discharges and energetic ion beams
  • Engelmann, S.; Bruce, R. L.; Kwon, T.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 25, Issue 4 https://doi.org/10.1116/1.2759935
journal January 2007
Quantification of surface film formation effects in fluorocarbon plasma etching of polysilicon
  • Gray, David C.; Sawin, Herbert H.; Butterbaugh, Jeffrey W.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 9, Issue 3 https://doi.org/10.1116/1.577361
journal May 1991
Role of oxygen impurities in etching of silicon by atomic hydrogen
  • Veprek, Stan; Wang, Chunlin; Veprek-Heijman, Maritza G. J.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 26, Issue 3 https://doi.org/10.1116/1.2884731
journal May 2008
Atomic Layer Deposition: An Overview journal January 2010
Selective etching of high-k HfO2 films over Si in hydrogen-added fluorocarbon (CF4∕Ar∕H2 and C4F8∕Ar∕H2) plasmas journal May 2006
Self‐limited layer‐by‐layer etching of Si by alternated chlorine adsorption and Ar + ion irradiation journal November 1993
Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide
  • Standaert, T. E. F. M.; Hedlund, C.; Joseph, E. A.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 22, Issue 1 https://doi.org/10.1116/1.1626642
journal January 2004
On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists
  • Bruce, R. L.; Weilnboeck, F.; Lin, T.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 29, Issue 4 https://doi.org/10.1116/1.3607604
journal July 2011
Silicon etching mechanisms in a CF 4 /H 2 glow discharge journal July 1987
Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2
  • Standaert, T. E. F. M.; Matsuo, P. J.; Allen, S. D.
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 17, Issue 3 https://doi.org/10.1116/1.581643
journal May 1999
Fluorination of the silicon dioxide surface during reactive ion and plasma etching in halocarbon plasmas journal March 1989
Plasma etching: Yesterday, today, and tomorrow journal September 2013
Mechanism of silicon etching in the presence of CF2, F, and Ar+ journal September 2004
Atomic Layer Deposition Chemistry: Recent Developments and Future Challenges journal January 2004
Surface analysis of polymers by XPS and static SIMS journal January 1998

Cited By (4)

Evolution of photoresist layer structure and surface morphology under fluorocarbon‐based plasma exposure journal March 2019
Open circuit potential monitored digital photocorrosion of GaAs/AlGaAs quantum well microstructures journal April 2018
Cryo atomic layer etching of SiO 2 by C 4 F 8 physisorption followed by Ar plasma journal October 2019
Development of a probe-type optical absorption spectroscopic system for spatially resolved CF 2 density measurement in inductively coupled C 4 F 8 /Ar plasmas journal January 2020

Similar Records

Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma
Journal Article · Fri Jan 15 00:00:00 EST 2016 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:1294595

Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma
Journal Article · Wed Nov 11 00:00:00 EST 2015 · Journal of Vacuum Science and Technology A · OSTI ID:1294595

Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}
Journal Article · Fri Jul 15 00:00:00 EDT 2016 · Journal of Vacuum Science and Technology. A, Vacuum, Surfaces and Films · OSTI ID:1294595