skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Technique for etching monolayer and multilayer materials

Abstract

A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

Inventors:
; ; ;
Publication Date:
Research Org.:
Brookhaven National Laboratory (BNL), Upton, NY (United States)
Sponsoring Org.:
USDOE
OSTI Identifier:
1222600
Patent Number(s):
9,153,453
Application Number:
13/371,124
Assignee:
Brookhaven Science Associates, LLC (Upton, NY)
DOE Contract Number:  
AC02-98CH10886
Resource Type:
Patent
Resource Relation:
Patent File Date: 2012 Feb 10
Country of Publication:
United States
Language:
English
Subject:
37 INORGANIC, ORGANIC, PHYSICAL, AND ANALYTICAL CHEMISTRY; 36 MATERIALS SCIENCE

Citation Formats

Bouet, Nathalie C. D., Conley, Raymond P., Divan, Ralu, and Macrander, Albert. Technique for etching monolayer and multilayer materials. United States: N. p., 2015. Web.
Bouet, Nathalie C. D., Conley, Raymond P., Divan, Ralu, & Macrander, Albert. Technique for etching monolayer and multilayer materials. United States.
Bouet, Nathalie C. D., Conley, Raymond P., Divan, Ralu, and Macrander, Albert. 2015. "Technique for etching monolayer and multilayer materials". United States. https://www.osti.gov/servlets/purl/1222600.
@article{osti_1222600,
title = {Technique for etching monolayer and multilayer materials},
author = {Bouet, Nathalie C. D. and Conley, Raymond P. and Divan, Ralu and Macrander, Albert},
abstractNote = {A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.},
doi = {},
url = {https://www.osti.gov/biblio/1222600}, journal = {},
number = ,
volume = ,
place = {United States},
year = {Tue Oct 06 00:00:00 EDT 2015},
month = {Tue Oct 06 00:00:00 EDT 2015}
}

Works referenced in this record:

Method of making sensitive positive electron beam resists
patent, November 1983


Endpoint detection for semiconductor processes
patent, June 2000


Trench isolation of field effect transistors
patent, August 2001


Trench capacitor with void-free conductor fill
patent, February 2009


Structure with sub-lithographic random conductors as a physical unclonable function
patent-application, February 2014


Recent advances in X-ray refractive optics
journal, January 2008


WSi 2 /Si multilayer sectioning by reactive ion etching for multilayer Laue lens fabrication
conference, August 2010


Photoluminescence characterization of SF6-O2 plasma etching of silicon
journal, January 1996


Wedged multilayer Laue lens
journal, May 2008


The NSLS-II multilayer Laue lens deposition system
conference, August 2009


Multilayer growth in the APS rotary deposition system
conference, September 2007


Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures
journal, August 2002


Developments of Plasma Etching Technology for Fabricating Semiconductor Devices
journal, March 2008


Sectioning of multilayers to make a multilayer Laue lens
journal, January 2007


Focusing of hard x-rays to 16 nanometers with a multilayer Laue lens
journal, June 2008


Reactive etching mechanism of tungsten silicide in CF4-O2 plasma
journal, August 1984


Multilayer Laue lenses as high-resolution x-ray optics
conference, November 2004

  • Maser, Joerg; Stephenson, Gregory B.; Vogt, Stefan
  • Optical Science and Technology, the SPIE 49th Annual Meeting, SPIE Proceedings
  • https://doi.org/10.1117/12.560046

Competitive reactions of fluorine and oxygen with W, WSi 2 , and Si surfaces in reactive ion etching using CF 4 /O 2
journal, May 1989

  • Oehrlein, Gottlieb S.; Lindstöm, J. Lennart
  • Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Vol. 7, Issue 3
  • https://doi.org/10.1116/1.576225

The development of a tapered silicon micro-micromachining process for 3D microsystems packaging
journal, October 2008


WSi 2 /Poly-Si Gate Etching Using a TiON Hard Mask
journal, April 1998


Low temperature reactive ion etching of silicon with SF[sub 6]/O[sub 2] plasmas
journal, March 1997