skip to main content
OSTI.GOV title logo U.S. Department of Energy
Office of Scientific and Technical Information

Title: Multilayer block copolymer meshes by orthogonal self-assembly

Journal Article · · Nature Communications
DOI:https://doi.org/10.1038/ncomms10518· OSTI ID:1238285

Continued scaling-down of lithographic-pattern feature sizes has brought templated self-assembly of block copolymers (BCPs) into the forefront of nanofabrication research. Technologies now exist that facilitate significant control over otherwise unorganized assembly of BCP microdomains to form both long-range and locally complex monolayer patterns. In contrast, the extension of this control into multilayers or 3D structures of BCP microdomains remains limited, despite the possible technological applications in next-generation devices. Here, we develop and analyse an orthogonal self-assembly method in which multiple layers of distinct-molecular-weight BCPs naturally produce nanomesh structures of cylindrical microdomains without requiring layer-by-layer alignment or high-resolution lithographic templating. The mechanisms for orthogonal self-assembly are investigated with both experiment and simulation, and we determine that the control over height and chemical preference of templates are critical process parameters. The method is employed to produce nanomeshes with the shapes of circles and Y-intersections, and is extended to produce three layers of orthogonally oriented cylinders.

Research Organization:
Argonne National Laboratory (ANL), Argonne, IL (United States)
Sponsoring Organization:
National Science Foundation (NSF)
Grant/Contract Number:
NSF DMR1419807; CMMI-1246740
OSTI ID:
1238285
Journal Information:
Nature Communications, Vol. 7, Issue 1; ISSN 2041-1723
Publisher:
Nature Publishing GroupCopyright Statement
Country of Publication:
United States
Language:
ENGLISH
Citation Metrics:
Cited by: 78 works
Citation information provided by
Web of Science

References (72)

Large-Area Nanosquare Arrays from Shear-Aligned Block Copolymer Thin Films journal September 2014
3D TEM Tomography of Templated Bilayer Films of Block Copolymers journal October 2014
Three-dimensional observation of confined phase-separated structures in block copolymer nanoparticles journal January 2012
Patterning sub-10 nm line patterns from a block copolymer hybrid journal October 2008
Hierarchical Nanostructures by Sequential Self-Assembly of Styrene-Dimethylsiloxane Block Copolymers of Different Periods journal December 2010
Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films journal June 2012
Graphoepitaxial Assembly of Symmetric Block Copolymers on Weakly Preferential Substrates journal August 2010
Combined epitaxial self-assembly of block copolymer lamellae on a hexagonal pre-pattern within microgrooves journal January 2015
Sacrificial-Post Templating Method for Block Copolymer Self-Assembly journal July 2013
Ultralarge-Area Block Copolymer Lithography Enabled by Disposable Photoresist Prepatterning journal August 2010
Induced Orientational Order in Symmetric Diblock Copolymer Thin Films journal February 2007
Bending of Lamellar Microdomains of Block Copolymers on Nonselective Surfaces journal February 2010
Thin films of block copolymer journal May 1997
High Aspect Ratio Sub-15 nm Silicon Trenches From Block Copolymer Templates journal August 2012
Flexible Control of Block Copolymer Directed Self-Assembly using Small, Topographical Templates: Potential Lithography Solution for Integrated Circuit Contact Hole Patterning journal May 2012
Controlled Orientation of Block Copolymers on Defect-Free Faceted Surfaces journal July 2012
Assembly of Sub-10-nm Block Copolymer Patterns with Mixed Morphology and Period Using Electron Irradiation and Solvent Annealing journal November 2011
Fabrication of Diverse Metallic Nanowire Arrays Based on Block Copolymer Self-Assembly journal September 2010
Aligning Single-Layer Cylinders of Block Copolymer Nanodomains using Soft Molding journal June 2005
High-resolution nanotransfer printing applicable to diverse surfaces via interface-targeted adhesion switching journal November 2014
Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene−Polydimethylsiloxane Block Copolymer journal July 2007
Three-Dimensional Multilayered Nanostructures with Controlled Orientation of Microdomains from Cross-Linkable Block Copolymers journal July 2011
A Route to Nanoscopic Materials via Sequential Infiltration Synthesis on Block Copolymer Templates journal May 2011
Parallel algorithm for numerical self-consistent field theory simulations of block copolymer structure journal September 2003
Block Copolymer Self Assembly during Rapid Solvent Evaporation: Insights into Cylinder Growth and Stability journal December 2013
Reducing Substrate Pinning of Block Copolymer Microdomains with a Buffer Layer of Polymer Brushes journal February 2000
Templated Self-Assembly of Block Copolymers: Effect of Substrate Topography journal October 2003
A Path to Ultranarrow Patterns Using Self-Assembled Lithography journal March 2010
Nanoscale form dictates mesoscale function in plasmonic DNA–nanoparticle superlattices journal April 2015
The fabrication of 20 nm half-pitch gratings by corrugation-directed self-assembly journal May 2008
Composite mesostructures by nano-confinement journal October 2004
Flexible and Transferrable Self-Assembled Nanopatterning on Chemically Modified Graphene journal December 2012
Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography
  • Yang, Joel K. W.; Berggren, Karl K.
  • Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, Vol. 25, Issue 6 https://doi.org/10.1116/1.2801881
journal January 2007
Mixed-morphology and mixed-orientation block copolymer bilayers journal January 2014
Symmetric diblock copolymers in thin films. I. Phase stability in self-consistent field calculations and Monte Carlo simulations journal September 1999
Three-Dimensional Nanofabrication by Block Copolymer Self-Assembly journal April 2014
Observation of surface corrugation-induced alignment of lamellar microdomains in PS-b-PMMA thin films journal January 2009
Cylindrically Confined Diblock Copolymers journal November 2009
Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resist
  • Duan, Huigao; Winston, Donald; Yang, Joel K. W.
  • Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, Vol. 28, Issue 6 https://doi.org/10.1116/1.3501353
journal November 2010
Rectangular Patterns Using Block Copolymer Directed Assembly for High Bit Aspect Ratio Patterned Media journal December 2010
Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries journal October 2007
Atomic Layer Deposition Assisted Pattern Multiplication of Block Copolymer Lithography for 5 nm Scale Nanopatterning journal April 2014
Macroscopic 10-Terabit-per-Square-Inch Arrays from Block Copolymers with Lateral Order journal February 2009
Directed self-assembly of block copolymers in the extreme: guiding microdomains from the small to the large journal January 2013
Enabling complex nanoscale pattern customization using directed self-assembly journal December 2014
Design of advanced porous graphene materials: from graphene nanomesh to 3D architectures journal January 2014
Unidirectionally aligned line patterns driven by entropic effects on faceted surfaces journal January 2012
Harnessing Anisotropic Nanoposts to Enhance Long-Range Orientation Order of Directed Self-Assembly Nanostructures via Large Cell Simulations journal July 2014
Polarity-Switching Top Coats Enable Orientation of Sub-10-nm Block Copolymer Domains journal November 2012
Effect of Chain Architecture and Surface Energies on the Ordering Behavior of Lamellar and Cylinder Forming Block Copolymers journal December 2006
A Top Coat with Solvent Annealing Enables Perpendicular Orientation of Sub-10 nm Microdomains in Si-Containing Block Copolymer Thin Films journal September 2014
Three-dimensional mesoporous structures fabricated by independent stacking of self-assembled films on suspended membranes journal December 2010
Arbitrary lattice symmetries via block copolymer nanomeshes journal June 2015
Graphene nanomesh journal February 2010
Nanotransfer Printing with sub-10 nm Resolution Realized using Directed Self-Assembly journal June 2012
Large-area, highly oriented lamellar block copolymer nanopatterning directed by graphoepitaxially assembled cylinder nanopatterns journal January 2012
Directed Self-Assembly of Lamellar Microdomains of Block Copolymers Using Topographic Guiding Patterns journal August 2009
Fabrication of highly ordered sub-20 nm silicon nanopillars by block copolymer lithography combined with resist design journal January 2013
Rectangular Symmetry Morphologies in a Topographically Templated Block Copolymer journal June 2012
Diblock copolymer thin films: Parallel and perpendicular lamellar phases in the weak segregation limit journal August 2001
Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates journal February 2007
Non-lift-off Block Copolymer Lithography of 25 nm Magnetic Nanodot Arrays journal August 2011
Complex self-assembled patterns using sparse commensurate templates with locally varying motifs journal March 2010
Graphene Nanomesh As Highly Sensitive Chemiresistor Gas Sensor journal September 2012
Fabrication of Complex Three-Dimensional Nanostructures from Self-Assembling Block Copolymer Materials on Two-Dimensional Chemically Patterned Templates with Mismatched Symmetry journal January 2006
Automated Defect and Correlation Length Analysis of Block Copolymer Thin Film Nanopatterns journal July 2015
Polymer Self-Assembly as a Novel Extension to Optical Lithography journal October 2007
Smectic block copolymer thin films on corrugated substrates journal January 2015
Efficient computation of the structural phase behavior of block copolymers journal April 2002
Nanometer-Scale Pattern Registration and Alignment by Directed Diblock Copolymer Self-Assembly journal September 2004
Symmetric Diblock Copolymers in Thin Films (I): Phase stability in Self-Consistent Field Calculations and Monte Carlo Simulations text January 1999
Cylindrically Confined Diblock Copolymers text January 2009

Cited By (19)

Nanoscale Block Copolymer Self‐Assembly and Microscale Polymer Film Dewetting: Progress in Understanding the Role of Interfacial Energies in the Formation of Hierarchical Nanostructures journal December 2019
Understanding Film Thickness‐Dependent Block Copolymer Self‐Assembly by Controlled Polymer Dewetting on Prepatterned Surfaces journal November 2019
Non‐Native Block Copolymer Thin Film Nanostructures Derived from Iterative Self‐Assembly Processes journal December 2019
Multivalency in Heteroternary Complexes on Cucurbit[8]uril‐Functionalized Surfaces: Self‐assembly, Patterning, and Exchange Processes journal June 2019
Effect of side chain and backbone length on lamellar spacing in polystyrene‐block‐poly(dimethyl siloxane) brush block copolymers journal March 2019
Directing Block Copolymer Self-Assembly on Patterned Substrates journal October 2018
Imparting Superhydrophobicity with a Hierarchical Block Copolymer Coating journal December 2019
Non-native three-dimensional block copolymer morphologies journal December 2016
Inverting the design path for self-assembled block copolymers journal January 2017
Optimizing self-consistent field theory block copolymer models with X-ray metrology journal January 2018
Hierarchical nanopores formed by block copolymer lithography on the surfaces of different materials pre-patterned by nanosphere lithography journal January 2018
Three-dimensional electroactive ZnO nanomesh directly derived from hierarchically self-assembled block copolymer thin films journal January 2019
Hierarchical multi-level block copolymer patterns by multiple self-assembly journal January 2019
Label-free vapor selectivity by polymer-inorganic composite photonic crystals sensors
  • Lova, Paola; Comoretto, Davide
  • 9TH INTERNATIONAL CONFERENCE ON “TIMES OF POLYMERS AND COMPOSITES”: From Aerospace to Nanotechnology, AIP Conference Proceedings https://doi.org/10.1063/1.5045959
conference January 2018
Dissipative particle dynamics for directed self-assembly of block copolymers journal October 2019
Rapid ordering of block copolymer thin films journal August 2016
Modification of block copolymer lithography masks by O 2 /Ar plasma treatment: insights from lift-off experiments, nanopore etching and free membranes journal March 2019
Temperature-Controlled Solvent Vapor Annealing of Thin Block Copolymer Films journal August 2019
Dissipative Particle Dynamics for Directed Self-Assembly of Block Copolymers text January 2019

Similar Records

Block Copolymer Assembly on Nanoscale Patterns of Polymer Brushes Formed by Electrohydrodynamic Jet Printing
Journal Article · Sun Jun 08 00:00:00 EDT 2014 · ACS Nano · OSTI ID:1238285

Thin Film Self-Assembly of a Silicon-Containing Rod–Coil Liquid Crystalline Block Copolymer
Journal Article · Fri Jan 04 00:00:00 EST 2019 · Macromolecules · OSTI ID:1238285

Boundary-directed epitaxy of block copolymers
Journal Article · Wed Aug 19 00:00:00 EDT 2020 · Nature Communications · OSTI ID:1238285